SISTEMAS DIGITALES SECUENCIALES UNAD

download SISTEMAS DIGITALES SECUENCIALES UNAD

of 18

Transcript of SISTEMAS DIGITALES SECUENCIALES UNAD

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    1/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    Curso Sistemas Digitales Secuenciales

    Momento III Diseo Circuitos Secuenciales

    Presentado Por:

    Camilo Jos PedrozaJefferson Ortiz Gonzlez

    Kepler RodrguezLuis ngel CubidesJean Carlos Meneses

    Presentado A:Carlos Emel Ruiz

    Universidad Nacional Abierta Y A Distancia

    Escuela de Ciencias Bsicas Tecnologa e Ingeniera

    Programa de Ingeniera Electrnica

    Bogot- Colombia

    2014

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    2/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    2

    INTRODUCCION.

    En el siguiente trabajo veremos las temticas estudiadas en la unidad N 2 como son Flip-Flops ydiseo de Mquinas de Estado, apoyados en investigaciones de ndole personal y el trabajodesarrollado en la prctica desarrollaremos un circuito secuencial el cual realizara una secuenciacclica iniciando con el nmero 1, debemos utilizar un display de siete segmentos y un contador 555el cual debe ser programado para dar secuencia cada dos segundos. De igual forma se anexara unlink de un video en el cual se explica el montaje del circuito, la secuencia y el funcionamiento de los

    componentes.

    La electrnica digital en la actualidad mueve el mundo entero, es la una de las ramas de la cienciaque mas rpido evoluciona, y la de mayor importancia, todo gracias a que sus aplicaciones sonmltiples e innumerables, la sociedad cada vez mas depende de los computadores y lascomunicaciones electrnicas, temas en los que la electrnica digital tiene gran impacto. Debido aesta gran importancia que tiene la electrnica digital, es crucial para todo ingeniero electrnico hoyda tener fuertes bases en circuitera digital. En el siguiente trabajo se har una sencilla aplicacinde circuitera combinacional y secuencial, lo que ayudara a que los participantes interioricen losconocimientos adquiridos hasta el momento en estos temas.

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    3/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    3

    OBJETIVOS.

    Afianzar los temas vistos en la unidad N 2 realizando investigaciones personales ms a fondo sobre los sistemas secuenciales y mquinas de estado.

    Resolver el problema propuesto en la gua de actividades utilizando cada uno de loselementos recomendados en esta, conocer su funcionamiento y desempeo.

    Realizar el proceso de resolucin paso a paso lo que nos ayudara a incrementar habilidades y destrezas en este tipo de circuitos

    Realizar simulacin en Proteus del circuito resulto y realizar pruebas de funcionamiento delcontador cclico.

    Aplicar los conocimientos adquiridos sobre circuitera combinacional y secuencial enla solucin de un problema prctico

    Profundizarlos conocimientos de la unidad correspondiente y fortalecer el manejo delosconceptos bsicos de la electrnica digital en circuitos Combinacionales.

    Aprender a disear circuitos digitales secuenciales con la ayuda de mtodos establecidos.

    Comprobar la efectividad del sistema en un ambiente simulado, para posteriormentepasarloa montaje fsico

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    4/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    4

    DESARROLLO

    Fase 1. Descri pcin del Problema.

    Una vez estudiados los contenidos de la unidad II y apoyados en los documentos de las referenciasbibliogrficas, el equipo de trabajo debe disear un circuito secuencial que permita en un display de siete segmentos la secuencia de diez nmeros de la siguiente forma cclica. 1 3 6 9 2 5 8

    4 7 - 0.

    Fase 2. Verifi cacin.

    El equipo de trabajo debe realizar todo el proceso o pasos necesarios para la realizacin delcircuito, recuerde que se debe hacer dos videos, uno para la simulacin en Proteus y otro para elcircuito fsico funcionando de manera que la secuencia se pueda apreciar en el display siete segmentos. La secuencia debe observarse cada dos (2) segundos, para lo cual, el informe debeincluir los clculos de temporizacin del circuito 555.

    Como parte del trabajo colaborativo final se puede ir al CEAD donde se est matriculado para elmontaje del circuito en el laboratorio y verificacin de su funcionamiento, la simulacin del sistemadiseado se puede realizar en cualquier programa de simulacin, se recomienda Proteus. Igualmente para la verificacin de funcionamiento del sistema propuesto se debe realizar el diseodel mismo en VHDL, para el diseo se debe realizar en primera medida un diagrama de flujo del sistema. A continuacin se debe realizar el programa en un simulador de VHDL, no importa cul sea la herramienta seleccionada, se puede utilizar la herramienta disponible a su alcance y realizarla simulacin del sistema haciendo uso del VHDL elegido.

    Para las opciones de simulacin es necesario realizar dos videos, el primero es un video explicativohaciendo uso de la herramienta CamStudio en donde se exponga claramente cmo funciona elcircuito del sistema. El segundo video se refiere al montaje fsico del circuito funcionando (montaje

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    5/18

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    6/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    6

    2. Desarrollo de la tabla de estados (Presente y Siguiente):

    Tabla de estado y de sal ida: Las entradas se representan como columnas, y los estados presentes como las; y en el interior de

    cada celda, se indica el prximo estado y el valor que tomar la salida cuando sufra la transicin,en ella listaremos para cada uno de los estados presentes de la secuencia, cul debe ser el valor deentrada de los Flip Flop para que al aplicarse un pulso de reloj se pase al siguiente estado de la secuencia (estado futuro). Para ello nos ayudamos con la tabla de excitacin de los Flip Flop. Semuestra la tabla de estado de un Flip Flop tipo D.

    Qn Qn+1 D0 0 01 0 00 1 11 1 1

    - Se muestra la Tabla de estados de la secuencia a generar:

    ACTUAL SIGUIENTEQ3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    1 0 0 0 1 3 0 0 1 1

    3 0 0 1 1 6 0 1 1 0

    6 0 1 1 0 9 1 0 0 1

    9 1 0 0 1 2 0 0 1 0

    2 0 0 1 0 5 0 1 0 1

    5 0 1 0 1 8 1 0 0 0

    8 1 0 0 0 4 0 1 0 0

    5 0 1 0 0 7 0 1 1 1

    7 0 1 1 1 0 0 0 0 0

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    7/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    7

    0 0 0 0 0 1 0 0 0 1

    Esta tabla de estado es de gran importancia y es necesario que quede bien estructurada ya que deesta tabla depende el diseo. Secuencia: 0001 - 0011 - 0110 - 1001 0010 - 0101 - 1000 - 0100 -0111 - 0000, que en decimal es1 3 6 9 2 5 8 4 7 0

    TABLA DE ESTADOS

    TABLA DE ESTADOS

    Display

    Estado presente Estado siguiente

    D C B A D C B AQ3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

    0 0 0 1 0 0 1 1

    0 0 1 1 0 1 1 0

    0 1 1 0 1 0 0 1

    1 0 0 1 0 0 1 0

    0 0 1 0 0 1 0 1

    0 1 0 1 1 0 0 0

    1 0 0 0 0 1 0 0

    0 1 0 0 0 1 1 1

    0 1 1 1 0 0 0 0

    0 0 0 0 0 0 0 1

    En la primera fila se encuentra el estado 0001 que corresponde al decimal 1 y el prximo estado dela cuenta debe ser el 0011 que corresponde al decimal 3.

    3. Desarrollo de la tabla de transiciones a partir de la tabla de control del Flip Flop

    tipo D:

    TABL A DE EX CITA CIN

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    8/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    8

    Durante el proceso de diseo se conoce por lo general la transicin del presente estado al siguiente y se desea encontrar las condiciones de entrada del Flip-Flops que encuentre la transicinrequerida. Por esta razn, se necesita una tabla que liste las entradas necesarias para un cambio deestado dado.

    Para obtener los datos de excitacin del flip flop en cuestin, es posible aplicar dos mecanismos debsqueda:horizontal y vertical. Cualquiera de ambas bsquedas es vlida; aunque visiblemente, la primera tcnica derivaen ecuaciones ms reducidas que la segunda. Adems, cuando se trabaja conla bsqueda vertical posiblemente se requiera forzar al circuito con un reset para comenzar unconteo lgico (no siempre es necesario).La bsqueda horizontal permite obtener la tabla de los flip

    flops comparando directamente el bit del estadopresente contra el estado siguiente, como lo indicanlas flechas marcadas en la tabla siguiente. Recuerda que elflip flop utilizado es de tipo D.

    - Tabla de excitacin para l a secuencia a generar :

    FF4 FF3 FF2 FF1

    Q0 D3 Q1 D2 Q2 D1 Q3 D0

    0 0 1 1

    0 1 1 0

    1 0 0 1

    0 0 1 0

    0 1 0 1

    1 0 0 0

    0 1 0 0

    0 1 1 1

    0 0 0 0

    0 0 0 1

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    9/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    9

    Ubicamos las tablas cerca de forma que podamos interpretarlas mejor, a continuacion se presentanlas tablas:

    - Se presenta un resumen por separado para identificar las salidas de los flip flops:

    ControlD0= Q3

    ControlD1= Q2

    ControlD3= Q1

    ControlD0= Q0

    Q3 Q3+1 D0 Q2 Q2+1 D1 Q1 Q1+1 D2 Q0 Q0+1 D3

    0 00

    0 00

    0 11

    1 11

    0 00

    0 11

    1 11

    1 00

    0 11

    1 00

    1 00

    0 11

    1 00

    0 00

    0 11

    1 00

    0 0 0 0 1 1 1 0 0 0 1 1

    0 11

    1 00

    0 00

    1 00

    1 00

    0 11

    0 00

    0 00

    0 00

    1 11

    0 11

    0 11

    0 00

    1 00

    1 00

    1 00

    0 00

    0 00

    0 00

    0 11

    Observaciones

    Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0 D0 D1 D2 D30 0 0 1 0 0 1 1 0 0 1 1 Inicia con el numero 10 0 1 1 0 1 1 0 0 1 1 0 sigue el numero 30 1 1 0 1 0 0 1 1 0 0 1 sigue el numero 61 0 0 1 0 0 1 0 0 0 1 0 sigue el numero 90 0 1 0 0 1 0 1 0 1 0 1 sigue el numero 2

    0 1 0 1 1 0 0 0 1 0 0 0 sigue el numero 51 0 0 0 0 1 0 0 0 1 0 0 sigue el numero 80 1 0 0 0 1 1 1 0 1 1 1 sigue el numero 40 1 1 1 0 0 0 0 0 0 0 0 sigue el numero 70 0 0 0 0 0 0 1 0 0 0 1 sigue el numero 0 y vuelve 1

    Estado presente Estado siguiente Entradas de Flip-Flop

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    10/18

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    11/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    D2= Q1 Q1`Q0` Q1`Q0 Q1Q0 Q1Q0`00 01 11 10

    Q3`Q2` 00 0 1 1 0Q3'Q2 01 1 0 0 0Q3Q2 11 X X X XQ3Q2` 10 0 1 X X

    D2= Q2 Q1' Q0' + Q2' Q0

    D3= Q0 Q1`Q0` Q1`Q0 Q1Q0 Q1Q0`00 01 11 10

    Q3`Q2` 00 1 1 0 1

    Q3'Q2 01 1 0 0 1Q3Q2 11 X X X XQ3Q2` 10 0 0 X X

    D3= Q3' Q2' Q1'+ Q3' Q0'

    Las funciones simplificadas de los JK de los FF quedan:

    D0 = Q3'Q2 Q1'Q0 + Q3' Q2 Q1 Q0'

    D1= Q3' Q2 Q1'Q0' + Q3 Q2' Q1' Q0' + Q3' Q2' Q1

    D2= Q3' Q2 Q1' Q0' +Q3 Q2' Q1' Q0 +Q3' Q2 Q0

    D3= Q3' Q0' + Q2' Q1'

    DISPALY DE SIETE SEGMENTOS

    Es una forma de representar nmeros en equipos electrnicos. Est compuesto de siete segmentosque se pueden encender o apagar individualmente, cada segmento tiene la forma de una pequealnea. A un que exteriormente su forma difiere considerablemente de un diodo led tpico,

    internamente estn constituidos por una serie de diodos led con unas determinadas conexiones

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    12/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    internas estratgicamente ubicadas, para que formen un ocho. A cada uno de los segmentos queforma el display se le denominan a, b, c, d, e, f y g. los diodos led trabajan con baja tensin y poca potencia, por lo tanto podran excitarse directamente con puertas lgicas. Los hay de dos tipos, nodocomn y ctodo comn.

    En los tipo nodo comn, todos los nodos de los diodos led estn unidos internamente a una patillacomn que debe ser conectada a potencial positivo (nivel 1) el encendido de cada segmentoindividual se realiza aplicando potencial negativo (nivel 0).

    En los tipos de ctodo comn todos los ctodos de los led estn unidos a una patilla internamente quedebe ser conectada a potencial bajo (nivel 0) el encendido de cada segmento individual se realiza

    aplicando potencial positivo (nivel 1).

    5. Diseo de generador de pulso de Reloj con el 555:

    TEM PORIZA DOR 555 M ODO AESTABL E

    Est constituido por una combinacin de comparadores lineales, flips-flops, transistor de descarga yexcitador de salida. Es muy popular para hacer osciladores que sirven como reloj (base de tiempo) para el resto del circuito. Descripcin de los terminales.

    - GND (1). Polo negativo de la alimentacin, generalmente tierra.- DI SPARO (TR 2). Donde se establece el inicio del tiempo de retardo, si el 555 es configurado

    como monoestable, este proceso de disparo ocurre cuando este pin va por debajo del nivel de1/3 del voltaje de alimentacin, este pulso debe ser de corta duracin pues si se mantiene bajomucho tiempo, la salida se quedar en alto hasta que la entrada de disparo sea alto otra vez.

    - SAL I DA (Q 3). Aqu se ver el resultado la operacin del temporizador, cuando la salida esalta, el voltaje ser el de alimentacin (Vcc) menos 1,7V.

    - RESET (R 4). Si se pone a un nivel por debajo de 0,7 voltios, pone la patilla de salida a un

    nivel bajo.

    http://commons.wikimedia.org/wiki/File:555-schem.svg
  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    13/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    - CONTROL DE VOLTA JE (CV 5). Cuando el controlador se utiliza en el modo de controladorde voltaje el voltaje en esta patilla puede variar casi desde Vcc 1 V hasta 0 V (aproximadamente2 V) as es posible modificar los tiempos en que la salida es monoestable.

    Multivibrador monoestable, en este caso el circuito entrega a su salida un solo pulso de unancho establecido por el diseador.

    - Diseo del generador de onda cuadrada:

    Tomado:es.wikipedia.org/wiki/Circuito_integrado_ 555

    La seal de salida tiene un nivel alto por un tiempo t1 y un nivel bajo por un tiempo t2. La duracin de estos

    tiempos dependen de los valores de R1, R2 y C, segn las frmulas siguientes:

    [segundos]

    y

    [segundos]

    Como cada numero debe visualizarse por 2 segundos este ser el valor del periodo de nuestra ondacuadrada.

    2 = 1 + 2 2 = (0,693( 1+ 2) ) +(0,693 2 ) 2 = (0,693 1) + (0,693 2) + (0,693 2 ) 2 = (0,693 1) + 2(0,693 2 )

    2 = (0,693 ) ( 1+2 2)

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    14/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    Suponemos un valor para C y para R1 y hal lamos a R2:

    2 (0,693 ) 1 = 2 2

    Si C = 10uF y R1 = 1K , entonces:

    2 (0,693 10 ) 1 = 2 2

    = ,

    Llevandolos a un valor comercial serian:

    R1 = 1KR2 = 150KC = 10 uF

    - M ontaje en l a simulacin:

    6. Implementacin del circuito lgico

  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    15/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    VERIFICACION.

    http://youtu.be/Cw6QIK-zG0w.

    M ONTAJE EN SI M ULA DOR PROTEUS

    http://youtu.be/Cw6QIK-zG0whttp://youtu.be/Cw6QIK-zG0whttp://youtu.be/Cw6QIK-zG0w
  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    16/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    LI NK DEL VIDEO DONDE SE EXPLI CA EL FUNCIONAMI ENTO DEL CIRCUITO.

    http://youtu.be/Cw6QIK-zG0w.

    http://youtu.be/Cw6QIK-zG0whttp://youtu.be/Cw6QIK-zG0whttp://youtu.be/Cw6QIK-zG0w
  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    17/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    1

    VI DEO M ONTAJE FI SI CO YOU TUBE

    https://www.youtube.com/watch?v=4TDESCzhUug&feature=youtu.be

    CONCLUSIONES.

    Se logra el objetivo del problema planteado realizando un circuito secuencial o mquina deestado el cual nos hace un conteo cclico desde el 1 - 3 - 6 hasta el 9 luego 2 - 5 8 4 7hasta el cero 0, e iniciando nuevamente con el 1 hasta el 9 y as hasta el 0.

    Se refuerzan los conocimientos aprendidos y se adquiere habilidad en el diseo con FF, pasando por su desarrollo paso a paso identificando cada uno de los diferentes estados,realizando simplificacin y montaje en simulador Proteus.

    Se afianzan los conceptos sobre el dispositivo 555 que nos da un retardo de tiempo, se aplica formula en la cual podemos alterar el valor de las resistencias y el capacitor para ajustar el periodo y por ende la frecuencia de operacin.

    https://www.youtube.com/watch?v=4TDESCzhUug&feature=youtu.behttps://www.youtube.com/watch?v=4TDESCzhUug&feature=youtu.behttps://www.youtube.com/watch?v=4TDESCzhUug&feature=youtu.be
  • 8/10/2019 SISTEMAS DIGITALES SECUENCIALES UNAD

    18/18

    ESCUELA DE CIENCIAS BSICAS TECNOLOGAS E INGENIERAS 90178_17 SISTEMAS DIGITALES SECUENCIALES

    MOMENTO III

    BIBLIOGRAFIA

    Huerta Snchez Mara Antonia. Lgica y lgebra de Boole. Editorial OUC. 2011. Recuperado Junio 28 de 2014 de:

    http://www.etnassoft.com/biblioteca/logica-y-algebra-de-boole/

    Snchez Ele Marcos. Introduccin a la Programacin VDHL. Editorial Computlensede Madrid. 2012. Recuperado Junio 30 de 2014 de:

    http://www.etnassoft.com/biblioteca/introduccion-a-la-programacion-en-vhdl/

    Muoz Fras Jos Daniel. Introduccin a los sistemas digitales. Editorial AutoedicinOpenlibra. 2012. Recuperado Junio 30 de 2014, de:

    http://www.etnassoft.com/biblioteca/introduccion-a-los-sistemas-digitales/

    http://www.etnassoft.com/biblioteca/logica-y-algebra-de-boole/http://www.etnassoft.com/biblioteca/logica-y-algebra-de-boole/http://www.etnassoft.com/biblioteca/introduccion-a-la-programacion-en-vhdl/http://www.etnassoft.com/biblioteca/introduccion-a-la-programacion-en-vhdl/http://www.etnassoft.com/biblioteca/introduccion-a-los-sistemas-digitales/http://www.etnassoft.com/biblioteca/introduccion-a-los-sistemas-digitales/http://www.etnassoft.com/biblioteca/introduccion-a-los-sistemas-digitales/http://www.etnassoft.com/biblioteca/introduccion-a-la-programacion-en-vhdl/http://www.etnassoft.com/biblioteca/logica-y-algebra-de-boole/