Trabajo Practica No 1 Sistemas Digitales Secuenciales

19
SISTEMAS DIGITALES SECUENCIALES TRABAJO COLABORATIVO N° 1 TUTOR: CARLOS EMEL RUIZ

Transcript of Trabajo Practica No 1 Sistemas Digitales Secuenciales

Page 1: Trabajo Practica No 1 Sistemas Digitales Secuenciales

SISTEMAS DIGITALES SECUENCIALES

TRABAJO COLABORATIVO N° 1

TUTOR:CARLOS EMEL RUIZ

Page 2: Trabajo Practica No 1 Sistemas Digitales Secuenciales

INTRODUCCION

Los últimos años se han visto marcados por el diseño y construcción de sistemas autónomos para la optimización de los procesos. Los robots son esencialmente sistemas tienen un gran número de implementaciones en la industria.

El presente trabajo muestra el diseño de un pequeño robot, utilizando dispositivos electrónicos tales como flip-flop, temporizadores, etc. En el desarrollo del trabajo se mostrarán algunos aspectos importantes como el diagrama de bloques, descripción de la forma en que los flip-flop pueden resolver el problema planteado. La implementación del diseño será ilustrada mediante simulación en el software Proteus.

Con el presente informe se evidencia la asimilación de las temáticas vistas en Sistemas Digitales Secuenciales, se logro diseñar y poner en funcionamiento un carro robot; utilizando como estrategia pedagógica el trabajo en equipo.

Page 3: Trabajo Practica No 1 Sistemas Digitales Secuenciales

FASE 1: HARDWARE

DISEÑO GENERAL

Usando circuitería combinacional se debe diseñar un pequeño robot, impulsado por dos motores DC, uno en cada rueda trasera del vehículo. El carro contará con dos microswiches en su parte frontal que le servirán para detectar el impacto con un obstáculo. El vehículo iniciara su marcha hacia adelante, una vez impacte, debe recordar este choque con el fin de que pueda retroceder girando en un sentido diferente luego de cada impacto.Cuando los sensores (microswiches) detecten el impacto del vehículo, éste deberá retroceder con un tiempo de reversa de 5 segundos, este tiempo será controlado con un temporizador 555 en modo monoestable, una vez transcurrido este período de tiempo, el vehículo deberá iniciar nuevamente su marcha hacia adelante

LISTADO DE MATERIALES

REFERENCIA DESCRIPCION

74L04 COMPUERTA NOT

7408 COMPUERTAS AND

L293D PUENTE H

74LS76 FLIP-FLOP TIPO JK

KIA7805AP REGULADOR

(2) MOTORES 12 Vdc

(2) SWITCH

100µF,150 µF, 10 µF CONDENSADORES

45.4KΩ POTENCIOMETRO

10KΩ RESISTENCIAS

74LS32 COMPUERTAS OR

DESCRIPCION DE COMPONENTES

Page 4: Trabajo Practica No 1 Sistemas Digitales Secuenciales

REFERENCIA DESCRIPCION

NE555N MULTIVIBRADOR MONOESTABLE CON CIRCUITO INTEGRADO 555

El multivibrador monostable entrega a su salida un solo pulso de un ancho establecido por el diseñador (tiempo de duración).El esquema de conexión y las formas de onda de la entrada y salida se muestran en los siguientes gráficos.Ver que el tiempo en nivel alto de la salida de multivibrador monostable depende del resistor R1 y el capacitor C1.La fórmula para calcular el tiempo de duración (tiempo que la salida está en nivel alto) es:T = 1.1 x R1 x C1 (en segundos)

L293D

Page 5: Trabajo Practica No 1 Sistemas Digitales Secuenciales

KIA7805 AP7805Un regulador de tensión (a veces traducido del inglés como regulador de voltaje) es un dispositivo electrónico diseñado con el objetivo de proteger aparatos eléctricos y electrónicos sensibles a variaciones de diferencia de potencial o voltaje y ruido existente en la corriente alterna de la distribución eléctrica.

Los reguladores de tensión están presentes en las fuentes de alimentación de corriente continua reguladas, cuya misión es la de proporcionar una tensión constante a su salida. Un regulador de tensión eleva o disminuye la corriente para que el voltaje sea estable, es decir, para que el flujo de voltaje llegue a un aparato sin irregularidades. Esto, a diferencia de un "supresor de picos" el cual únicamente evita los sobre voltajes repentinos (picos). Un regulador de voltaje puede o no incluir un supresor de picos.

Los LM78xx son reguladores de salida positiva, mientras que la familia LM79xx son para voltajes equivalentes pero con salida negativa. Así, un LM7805 es capaz de entregar 5 voltios positivos, y un LM7912 entregara 9 voltios negativos.

Page 6: Trabajo Practica No 1 Sistemas Digitales Secuenciales

DIAGRAMA DE BLOQUES

COMO LOS FLIP-FLOP PUEDEN RESOLVER EL PROBLEMA PLANTEADO

El flip flop JK, empleado consta de dos entradas como el flip flop RS, las que son llamadas J y K El funcionamiento de este tipo de flip flop es similar a la del RS con entrada de reloj con la diferencia que el JK presenta lo que se denomina un modo conmutable.

A partir de la tabla tenemos que si la J y K zona ambas de nivel bajo cuando el flanco de baja se presente, el estado de Q después del flanco (Qn + 1) es igual al estado de Q antes del flanco (Qn), el flip flop no cambia de estado.

En la segunda y tercera fila tenemos que si J=0 y K=1, la salida Q pasa a 0 y si J=1 y K=0, la salida Q pasa a 1.

La última fila nos muestra una posibilidad, en la que las dos entrada en nivel alto es una condición valida. Si J y K son iguales a 1 el flip flop cambiara al estado opuesto o conmutara, es decir que la salida Q después del flanco es el

complemento de la salida de Q antes del flanco , es decir que si J y K son

Page 7: Trabajo Practica No 1 Sistemas Digitales Secuenciales

ambas de nivel alto cuando el flanco del reloj se presente, el Flip Flop se activara si estaba Desactivado y se Desactiva si estaba activo.

La capacidad de conmutación del Flip Flop JK lo hace extremadamente útil en numerosas Aplicaciones, especialmente en las cuales se necesita contar.

CALCULO DEL RETARDO (5 Seg)

Para el cálculo del retardo empleamos la siguiente fórmula:

Como sabemos que el tiempo debe ser de 5 seg, asumimos un valor de 100µF para el condensador y tenemos que:

Este valor lo podemos ajustar con un potenciómetro.

DESARROLLO DE CIRCUITO

Diseñamos y simulamos u n carro que al detectar obstáculos en su camino

debe cambiar su rumbo dependiendo la activación de los respectivos switchs; el

vehículo consta de dos motores DC que pueden funcionar entre 5 y 12 vdc. Para

cambiar el sentido de giro de un motor DC lo que se debe hacer es invertir su

polaridad de alimentación y esto es posible con el puente H en nuestro montaje

empleamos el L293D.

El integrado L293D incluye cuatro circuitos para manejar cargas de potencia

media, en especial pequeños motores y cargas inductivas, con la capacidad de

Page 8: Trabajo Practica No 1 Sistemas Digitales Secuenciales

controlar corriente hasta 600 mA en cada circuito y una tensión entre 4,5V a 36V.

Los circuitos individuales se pueden usar de manera independiente para controlar cargas

de todo tipo y, en el caso de ser motores, manejar un único sentido de giro. Pero además,

cualquiera de estos cuatro circuitos sirve para configurar la mitad de un puente H.

Puente H

Integrado permite formar, entonces, dos puentes H completos, con el cual se puede gobernar los dos motores. En este caso el manejo será bidireccional, con frenado rápido y con posibilidad de implementar fácilmente el control de velocidad.

Las salidas tienen un diseño que permite el manejo directo de cargas inductivas tales como relés, solenoides, motores de corriente continua y motores por pasos, ya que incorpora internamente los diodos de protección de contracorriente para cargas inductivas.

Page 9: Trabajo Practica No 1 Sistemas Digitales Secuenciales

IMPLEMENTACION DEL CIRCUITO EN PROTEUS

Solución de la necesidad simulado en Proteus 7.7

FASE 2: SOFTWARE

DIAGRAMA DE BLOQUES EN VHDL

Librería:Library ieee;Use ieee. std_logic_1164.all;

Page 10: Trabajo Practica No 1 Sistemas Digitales Secuenciales

Entidad:Entity circuito_secuencial is port ( clk: in std_logic;

J0, K0: in std_logic;J1, K1: in std_logic;J2, K2: in std_logic;Q0, Neg Q0: outbuffer std_logic;Q1, Neg Q1: outbuffer std_logic;Q2, Neg Q2: outbuffer std_logic

End circuito_secuencial;

Arquitectura: Desarrollado en un estilo de comportamiento o también llamado behavioral, que define la funcionalidad del dispositivo mediante un algoritmo ejecutado secuencialmente, de forma muy parecida a como lo hace cualquier programa escrito en un lenguaje de programación común.

Architecture circ_seq of circuito_secuencial isbegin

Q0, Neg Q0: std_logic; J0, K0: std_logic_vector (1 downto 0);

Q1, Neg Q1: std_logic; J1, K1: std_logic_vector (1 downto 0);

Q2, Neg Q2: std_logic; J2, K2: std_logic_vector (1 downto 0);

begininput0<= J0, K0;input1<= J1, K1;input2<= J2, K2;

p: process( clk) isbegin

if rising_edge (clk) thencase (input0) iswhen "11" => Q0 <= Neg Q0;when "10" => Q0 <= '1';when "01" => Q0 <= '0';when others => null;end case;

case (input1) iswhen "11" => Q1 <= Neg Q1;when "10" =>

Page 11: Trabajo Practica No 1 Sistemas Digitales Secuenciales

Q1 <= '1';when "01" => Q1 <= '0';when others => null;end case;

case (input2) iswhen "11" => Q2 <= Neg Q2;when "10" => Q2 <= '1';when "01" => Q2 <= '0';

when others => null;

end case;end if;

end process;End circ_seq

I. La etapa de señalización, conformada por el decodificador y el display de siete segmentos.

Librería:

library ieee;use ieee.std_logic_1164.all;

Entidad:

entity display_7segmentos isport ( Q2 downto Q0: in bit_vector ( 2 downto 0) ;

Salida : out bit_vector (6 downto 0) );end display_7segmentos ;

Arquitectura: Desarrollada en el mismo estilo comportamental.

architecture disp_7segment of display_7segmentos is

begin

p: process ( Q2 downto Q0)

begin

case Q2 downto Q0 iswhen “000” => salida <= “0000000”;when “001” => salida <= “0110000”;

Page 12: Trabajo Practica No 1 Sistemas Digitales Secuenciales

when “011” => salida <= “1111001”;when “101” => salida <= “1011011”;when “111” => salida <= “1110000”;when “010” => salida <= “1101100”;when “100” => salida <= “0110011”;when “110” => salida <= “0011111”;end case;end process;end disp_7segment;

Page 13: Trabajo Practica No 1 Sistemas Digitales Secuenciales

DIAGRAMA FLUJO – FUNCIONAMIENTO CARRO

Diagrama de Flujo del funcionamiento del carro

Page 14: Trabajo Practica No 1 Sistemas Digitales Secuenciales

CONCLUSIONES

El diseño del Robot nos permitió afianzar nuestros conocimientos en el campo de los circuitos secuenciales, entrando en el análisis de cada uno de los componentes empleados en su elaboración.

Los flip-flop permiten el almacenamiento de un solo bit, y de esta manera no se pierde la información. Cabe anotar que los flip-flop son volátiles, es decir, que una vez se ha retirado la alimentación se pierde el dato almacenado.

La utilización de los flip-flop facilita el proceso de control, ya que al retener la información de los detectores, permite que se evada al obstáculo en el momento apropiado.

La utilización del puente H permitió cambiar la polaridad de los motores a partir de una fuente sencilla, y de esta manera obtener el movimiento hacia adelanta y hacia atrás del robot.

Page 15: Trabajo Practica No 1 Sistemas Digitales Secuenciales

REFERENCIAS

Georffrey Acevedo González. (2008). Modulo de Sistemas Digitales Secuenciales. Medellin, Colombia: UNAD

Sede Web del Campus Virtual de la UNAD. Recuperado el 20 de Marzo de 2011, de http://campus07.unadvirtual.org/moodle/course/view.php?id=14

Foro MundoDivX &H264.Manual CamStudio. Actualizado 02 de Marzo de 2009, Recuperado 12 marzo de 2011 en la dirección electrónica http://www.mundodivx.org/foro/index.php?topic=34625.0

Sede Web UNICROM. Recuperado el 21 de Marzo de 2011, dehttp://www.unicrom.com/tut_multivibrador_monostable_555.asp

Sede Web ROBOTS ARGENTINA. Recuperado el 24 de Marzo de 2011, dehttp://robots-argentina.com.ar/MotorCC_L293D.htm

Sede Web MIS ALGORITMOS. Recuperado el 28 de Marzo de 2011, de

http://mis-algoritmos.com/aprenda-a-crear-diagramas-de-flujo

Sede Web de La Enciclopedia Virtual WIKIPEDIA. Recuperado el 01 de Abril de 2011, de

http://es.wikipedia.org/wiki/Regulador_de_tensi%C3%B3n