TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza...

88
Centro Nacional de Investigación y Desarrollo Tecnológico Departamento de Ingeniería Electrónica TESIS DE MAESTRÍA EN CIENCIAS Convertidor Multinivel en Cascada con Generador Multipolos para Aplicaciones Eólicas Presentada por: Armando Antonio Reyes Ing. Electrónico por el Instituto Tecnológico de Minatitlán como requisito para la obtención del grado de: Maestría en Ciencias en Ingeniería Electrónica Director de tesis: Dr. Jesús Aguayo Alquicira Co-Director de tesis: Dr. Jesús Darío Mina Antonio Cuernavaca, Morelos, México. 29 de febrero 2012

Transcript of TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza...

Page 1: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Centro Nacional de Investigación y Desarrollo Tecnológico

Departamento de Ingeniería Electrónica

TESIS DE MAESTRÍA EN CIENCIAS

Convertidor Multinivel en Cascada con Generador Multipolos para Aplicaciones Eólicas

Presentada por:

Armando Antonio Reyes

Ing. Electrónico por el Instituto Tecnológico de Minatitlán

como requisito para la obtención del grado de:

Maestría en Ciencias en Ingeniería Electrónica

Director de tesis:

Dr. Jesús Aguayo Alquicira

Co-Director de tesis:

Dr. Jesús Darío Mina Antonio

Cuernavaca, Morelos, México. 29 de febrero 2012

Page 2: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Centro Nacional de Investigación y Desarrollo Tecnológico

Departamento de Ingeniería Electrónica

TESIS DE MAESTRÍA EN CIENCIAS

Convertidor Multinivel en Cascada con Generador Multipolos para Aplicaciones Eólicas

Presentada por:

Armando Antonio Reyes

Ing. Electrónico por el Instituto Tecnológico de Minatitlán

como requisito para la obtención del grado de:

Maestría en Ciencias en Ingeniería Electrónica

Director de tesis:

Dr. Jesús Aguayo Alquicira

Co-Director de tesis:

Dr. Jesús Darío Mina Antonio

Jurado:

Dr. Jaime Eugenio Arau Roffiel – Presidente

Dr. Jorge Hugo Calleja Gjumlich – Secretario

Dr. Jesús Aguayo Alquicira – Vocal

Dr. Jesús Darío Mina Antonio – Vocal Suplente

Cuernavaca, Morelos, México. 29 de febrero 2012

Page 3: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa
Page 4: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa
Page 5: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Agradecimientos

A mis padres Armando y Dora por su cariño, amor y apoyo incondicional a través de los

años.

A mi director de tesis el Dr. Jesús Aguayo Alquicira, por los conocimientos compartidos y sus

buenos consejos.

A mi coasesor de tesis, el Dr. Jesús Darío Mina Antonio por ayudarme con sus

observaciones y correcciones siempre de manera objetiva.

A mis revisores de tesis, por sus comentarios y sugerencias para el desarrollo de la

investigación que fueron de gran ayuda: Dr. Jorge Hugo Calleja Gjumlich, Dr. Jaime Eugenio

Arau Roffiel.

A mis compañeros: Josefa, Miriam, Olga, Susana, Alberto, Eligio, Juan Antonio, Juan

Manuel, Julio y Román.

A Karen por ayudarme en muchos aspectos de mi vida, incluso en la realización de este

trabajo.

Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este

trabajo de investigación.

Al Centro Nacional De Investigación y Desarrollo Tecnológico (CENIDET), por permitirme

realizar mis estudios de maestría.

Al Consejo Nacional de Ciencia y Tecnología (CONACYT), por el apoyo económico brindado

durante la realización de mis estudios de maestría.

A la Dirección General de Educación Superior Tecnológica (DGEST) por el apoyo económico

para la culminación del trabajo de tesis.

Page 6: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

RESUMEN

En este trabajo de tesis se presenta el diseño y construcción de una maqueta experimental que emula el comportamiento de un sistema de conversión de energía eólica (Wind Energy Conversion System). El sistema de pruebas se encuentra formado por un generador multipolos, un inversor multinivel, un emulador de turbina eólica y un modulador. El generador multipolos se implementó con un generador de inducción doblemente alimentado (Doubly-Fed Induction Generator) de cuatro polos. El emulador de turbina eólico funciona en un amplio rango de velocidades, proporcionando al generador multipolos una fuente variable de energía. Se diseñó y construyó un inversor trifásico de cinco niveles, para transformar la energía entregada por el generador multipolos y alimentar una carga aislada totalmente resistiva. En el diseño del convertidor multinivel se incluyó una etapa de rectificación y regulación de voltaje, puesto que el generador multipolos entrega señales de corriente alterna (CA) y los puentes completos del convertidor se alimentan con corriente directa (CD). El modulador fue implementado en un arreglo de compuertas programables en campo (Field Programmable Gate Array) que es el encargado de proporcionar las señales de control al convertidor multinivel. La técnica empleada para obtener los patrones de conmutación es la de modulación por ancho de pulso con portadoras desfasadas (Phase Shift Pulse Width Modulation). Las ventajas de utilizar esta técnica, es que se envía el rizo del voltaje de salida a frecuencias más altas que las de conmutación, disminuyendo el contenido armónico de la señal de salida. La señal de voltaje en la salida del convertidor mantiene una frecuencia constante aún cuando la velocidad en el emulador de turbina eólica aumente o disminuya, sin embargo, la amplitud del voltaje aumenta cuando la velocidad aumenta y decrece cuando la velocidad disminuye.

Page 7: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

ABSTRACT

This thesis presents the design and construction of an experimental model that emulates the behavior of a wind energy conversion system (WECS). The test system is formed by a multi-pole generator, a multilevel inverter, wind turbine emulator and a modulator. The multi-pole generator was implemented in a doubly fed induction generator (DFIG) of four poles. The wind turbine emulator operates in a wide range of speeds, providing the multi-pole generator a variable source of energy. It was designed and built a three-phase inverter of five levels in order to transform the energy delivered by the generator multi-poles and to feed a totally isolated resistive load. The multilevel converter includes rectification and voltage regulation because multi-poles generator delivers alternating current signals (CA) and complete bridges of the converter are fed with direct current (DC). The modulator was implemented in a field-programmable gate array (FPGA) that is responsible for providing control signals to the multilevel converter. The technique used to generate the switching patterns is the pulse width modulation with shift phase (PSPWM). The advantage of using this technique is that it sends the output voltage ripple at frequencies higher than switching, reducing the harmonic content of the output signal. The voltage signal at the output of the converter maintains a constant frequency even when the speed in the wind turbine emulator increases or decreases, however, voltage amplitude increases as speed is increasing and decreases as the speed is decreasing.

Page 8: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Contenido Índice de figuras……………………………………………………………….i

Índice de tablas……………………………………………………………….ii

Acrónimos…………………………………………………………………….iii

Capítulo 1.- Introducción Introducción ........................................................................................................................................... 1

1.1 Introducción ..................................................................................................................................... 1

1.2 Antecedentes ................................................................................................................................... 2

1.3 Estado del arte ................................................................................................................................ 3

1.4 Planteamiento del problema ......................................................................................................... 8

1.5 Propuesta de solución .................................................................................................................... 8

1.6 Objetivos ........................................................................................................................................ 10

1.6.1 Objetivo general ..................................................................................................................... 10

1.6.2 Objetivos particulares ........................................................................................................... 10

1.7 Alcances del trabajo ..................................................................................................................... 10

1.8 Organización del documento ...................................................................................................... 10

Capítulo 2.- Sistemas de conversión de energía eólica

2.1 Introducción ................................................................................................................................... 12

2.2 Aplicaciones eólicas ..................................................................................................................... 12

2.3 Turbinas eólicas ............................................................................................................................ 15

2.4 Generador multipolos ................................................................................................................... 21

2.5 Convertidores multinivel............................................................................................................... 23

2.4.1 Topologías existentes para convertidores multinivel ....................................................... 25

2.4.1.1 Convertidor multinivel de diodos de enclavamiento ................................................. 25

2.4.1.2 Convertidor multinivel de condensadores flotantes .................................................. 26

2.4.1.3 Convertidor multinivel en cascada ............................................................................... 27

Capítulo 3.- Diseño e implementación de la maqueta experimental

3.1 Introducción ................................................................................................................................... 30

3.2 Configuración del generador multipolos .................................................................................... 30

Page 9: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

3.3 Diseño del convertidor multinivel ................................................................................................ 35

3.3.1 Técnica de modulación PSPWM ......................................................................................... 41

3.3.1.1Desfase entre señales .................................................................................................... 42

3.3.2 Implementación del modulador ........................................................................................... 44

Capítulo 4.- Resultados

4.1 Introducción ................................................................................................................................... 47

4.2 Resultados en simulación ............................................................................................................ 48

4.2.1 Simulación del inversor multinivel ....................................................................................... 48

4.2.2 Simulación del modulador .................................................................................................... 52

4.2.3 Resultados experimentales .................................................................................................. 54

Capítulo 5.- Conclusiones

5.1 Conclusiones del trabajo ............................................................................................................. 64

5.2 Trabajos futuros ............................................................................................................................ 65

Referencias .......................................................................................................................................... 66

Anexo A ................................................................................................................................................. 69

Anexo B ................................................................................................................................................. 71

Anexo C ................................................................................................................................................ 72

Anexo D ................................................................................................................................................ 76

Page 10: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

i

Índice de figuras

Figura 1 . 1 Zonas con mayor potencial eólico ................................................................................. 2

Figura 1 . 2 Convertidor back-to-back conectado a una turbina eólica [22] ................................ 5

Figura 1 . 3 Esquema eléctrico de una turbina eólica de velocidad variable equipada con un

PMSG de accionamiento directo [2] .............................................................................. 5

Figura 1 . 4 Sistema de control propuesto en [17] ........................................................................... 7

Figura 1 . 5 Sistema propuesto ........................................................................................................... 9

Figura 2 . 1 Esquema de funcionamiento de un WECS (aerogenerador) .................................. 13

Figura 2 . 2 Componentes principales de un WECS con caja multiplicadora (Gearbox) ......... 14

Figura 2 . 3 WECS con generador multipolo sin caja multiplicadora .......................................... 15

Figura 2 . 4 Primeras turbinas eólicas de la época moderna ....................................................... 16

Figura 2 . 5 Conceptos de turbinas eólicas con generador asíncrono ........................................ 17

Figura 2 . 6 Conceptos de turbinas eólicas con generador síncrono .......................................... 18

Figura 2 . 7 Proceso de conversión de la energía mecánica en eléctrica [12]. ......................... 22

Figura 2 . 8 Comparativa de señales en convertidores (a) y (b) de dos y tres niveles (c)

multinivel ........................................................................................................................ 24

Figura 2 . 9 Estructura monofásica del convertidor con diodos de enclavamiento ................... 25

Figura 2 . 10 Convertidor multinivel con condensadores flotantes .............................................. 26

Figura 2 . 11 Convertidor multinivel en cascada ............................................................................ 27

Figura 3. 1 DIFG utilizado como generador multipolos ................................................................. 30

Figura 3. 2 Esquema de los devanados en el estator para máquinas de CA ............................ 31

Figura 3. 3 Generador con dos polos (un devanado) .................................................................... 32

Figura 3. 4 Conexiones disponibles para un generador trifásico con dos polos ....................... 32

Figura 3. 5 Conexiones disponibles en el DFIG ............................................................................. 33

Figura 3. 6 Señales de voltaje medidas en el estator del generador multipolos ....................... 34

Figura 3. 7 Señales de voltaje al variar la velocidad en el emulador de turbina eólica ............ 34

Figura 3. 8 Estructura básica de un puente completo ................................................................... 35

Figura 3. 9 Inversor de cinco niveles en cascada y la forma de onda generada ...................... 36

Figura 3. 10 Esquema del convertidor multinivel trifásico ............................................................. 36

Figura 3. 11 Circuito rectificador y regulador ..................................................................................... 37

Figura 3. 12 Circuito integrado L298N ............................................................................................. 38

Figura 3. 13 Diagrama interno del CI L298 ..................................................................................... 38

Figura 3. 14 Esquemático del convertidor de cinco niveles monofásico .................................... 39

Figura 3. 15 Convertidor de cinco niveles monofásico en circuito impreso ............................... 40

Figura 3. 16 Convertidor multinivel conectado al generador multipolos ..................................... 40

Figura 3. 17 Convertidor multinivel trifásico .................................................................................... 41

Page 11: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

ii

Figura 3. 18 Ejemplo de las señales portadoras y moduladora de la técnica PSPWM ........... 43

Figura 3. 19 FPGA utilizado para implementar el modulador que controla las señales de

encendido y apagado de los interruptores ubicados en el inversor multinivel. .. 44

Figura 4. 1 Esquema del inversor multinivel monofásico realizado en PSim v7.0 .................... 48

Figura 4. 2 Voltaje (azul) y corriente (rojo) en una rama del inversor multinivel ....................... 49

Figura 4. 3 Señales de voltaje del convertidor multinivel trifásico ............................................... 49

Figura 4. 4 Voltaje en una fase del convertidor multinivel ............................................................. 50

Figura 4. 5 Señal de voltaje al aumentar la tensión en el bus de CD ......................................... 50

Figura 4. 6 Espectro de Fourier para señal de salida de la fase A del inversor de cinco

niveles ............................................................................................................................... 51

Figura 4. 7 Espectro de Fourier de la salida del convertidor de tres niveles ............................. 52

Figura 4. 8 Patrones de conmutación simulados ........................................................................... 53

Figura 4. 9 Patrones de conmutación para el convertidor multinivel ........................................... 54

Figura 4. 10 Emulador de turbina eólica .......................................................................................... 55

Figura 4. 11 Señal de voltaje en una rama del convertidor multinivel ......................................... 56

Figura 4. 12 Señal de voltaje en la fase A del convertidor multinivel .......................................... 56

Figura 4. 13 Señales de dos fases del convertidor multinivel ...................................................... 57

Figura 4. 14 Señal trifásica en la salida del convertidor multinivel .............................................. 57

Figura 4. 15 Señal de corriente en la carga (verde) y voltajes en la carga ................................ 58

Figura 4. 16 Variación del voltaje y corriente al aumentar el índice de modulación ................. 59

Figura 4. 17 Voltajes en la carga ...................................................................................................... 60

Figura 4. 18 Voltaje en la fase a, b y voltaje entre fases ab ......................................................... 60

Figura 4. 19 Voltajes entre fases....................................................................................................... 61

Figura 4. 20 Análisis espectral para la una fase ............................................................................. 62

Índice de tablas

Tabla 2. 1 Características de las configuraciones de turbinas eólicas ....................................... 18

Tabla 3. 1 Características eléctricas del CI L298N ........................................................................ 39

Tabla 4. 1 Relación entre la velocidad del emulador de turbina eólica y la amplitud de la

fundamental ..................................................................................................................... 63

Tabla 4. 2 Relación entre el voltaje entre fases y la amplitud de la fundamental .................... 63

Page 12: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

iii

Acrónimos

CA Corriente alterna

CD Corriente directa

CENIDET Centro Nacional de Investigación y Desarrollo Tecnológico

CFE Comisión federal de electricidad

CHB Puente completo en cascada o puente H en cascada

CI Circuito integrado

DCM Administradores de reloj digital

DCMLI Inversor multinivel de diodos de enclavamiento

DFIG Generador de inducción doblemente alimentado

FC Capacitores flotantes

FCMLI Inversor multinivel de condensadores flotantes

FPGA Arreglo de compuertas programables en campo

IGBT Transistor bipolar de compuerta aislada

IIE Instituto de investigaciones eléctricas

NPC Punto sujeto neutro

PMSG Generador síncrono de imanes permanentes

PMSM Maquina síncrona de imanes permanentes

PROM Memoria programable de solo lectura

PSPWM Modulación por ancho de pulso con desfase de portadoras

PWM Modulación por ancho de pulso

THD Distorsión armónica total

TTL Lógica de transistor a transistor

UE Unión europea

VCD Voltaje de corriente directa

VHDL Lenguaje de descripción de hardware de alto nivel

WECS Sistema de conversión de energía eólica

Page 13: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

cenidet 1

Capítulo 1 Introducción

1.1 Introducción

Las formas de energías limpias y renovables, como la energía eólica, son esenciales

si se pretende detener el cambio climático. Las energías renovables son vitales para

continuar con la transición energética desde formas de energía contaminante hacia

formas de energía limpia que disminuyen las amenazas a nuestra salud y permiten

un equilibrio para el desarrollo sustentable del medio ambiente durante los próximos

años.

La Unión Europea (UE) sigue siendo el líder del mundo en capacidad de energía de

viento total instalada, diez de los 27 estados miembros de la UE ahora tienen más de

1GW de la capacidad eólica cada uno [1].

El aprovechamiento de la energía cinética del viento es considerada una tecnología

madura para la generación de energía eléctrica. Comercialmente se encuentran

disponibles aerogeneradores desde 0.5 hasta 1.5 MW de potencia nominal, aunque

existen prototipos con una potencia de 3 MW.

En México este recurso tiene un gran potencial (Figura 1 . 1). Se calcula que puede ser

superior a los 5000 MW aprovechables en zonas identificadas, como lo son el sur del

istmo de Tehuantepec; las penínsulas de Baja California y Yucatán; la región central

de Zacatecas y hasta la frontera con Estados Unidos de América y también algunas

zonas costeras del país [2].

Page 14: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 2

Figura 1 . 1 Zonas con mayor potencial eólico

En México los avances y proyectos más significativos en materia de energía eólica

han sido desarrollados por la Comisión Federal de Electricidad (CFE), que ha llevado

a cabo la construcción de la central “La Venta” en el estado de Oaxaca, con una

capacidad de producción de 1.6 MW (1994) en su primera etapa y en la segunda

aumentando considerablemente a 83.3 MW (2006).

Con miras a aprovechar las oportunidades que ofrece el mercado eólico

internacional, el cual crece a un ritmo cercano al 35% por año, el Instituto de

Investigaciones Eléctricas (IIE) emprendió el desarrollo de una turbina eólica de 1.2

MW de capacidad, diseñada para operar en condiciones de vientos intensos como

los que se encuentran en la región de La Ventosa, Oaxaca. El diseño del IIIE se

ubica en potencias de 1 a 1.5 MW donde la oferta de productos en el mercado es

escasa, especialmente para condiciones de vientos intensos [3].

1.2 Antecedentes

Hoy en día el aprovechamiento de la energía del aire es un tema muy importante. La

energía eólica es un recurso renovable, es limpia, inagotable y con grandes

perspectivas de desarrollo.

Page 15: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 3

A lo largo del tiempo, el ser humano ha ideado diversos artefactos para aprovechar la

energía del viento. En la actualidad se cuenta con sistemas de conversión para

transformar la energía cinética del aire en energía eléctrica. Estos sistemas son

llamados “sistemas eólicos” o “sistemas de conversión de energía eólica” (WECS).

Básicamente están formados por una turbina eólica, un generador eléctrico y un

convertidor de potencia [4].

En CENIDET se han desarrollado trabajos que abordan tópicos asociados a los

WECS o parte de éstos, entre otros:

La implementación de un emulador de turbina eólica, el cual se utiliza para

llevar a cabo pruebas de generación eolo-eléctrica [5].

El uso de un convertidor back-to-back con una máquina de inducción

doblemente alimentada para un sistema de generación a velocidad variable.

Este sistema de generación es del tipo aislado puesto que no está conectado

directamente a la red [6].

El presente trabajo de tesis involucra un generador multipolos, que es considerado

uno de los más eficientes en sistemas de velocidad variable, por otro lado agrega un

convertidor multinivel en cascada para aprovechar la energía entregada por el

generador.

1.3 Estado del arte

La revisión del estado del arte tiene como objetivo verificar qué soluciones están

reportadas en la literatura, respecto a la conexión del generador con diversos tipos

de convertidores para sistemas de conversión de energía eólica La búsqueda se hizo

enfocada en generadores para turbinas eólicas acoplados con convertidores

multinivel, la finalidad de la investigación realizada es verificar el potencial de

aplicación de generadores multipolos acoplados con inversores multinivel en

cascada.

Page 16: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 4

En [7] se propone un generador múltiple con modulación por ancho de pulso (Pulse

Width Modulation) para convertidores multinivel basado en un arreglo de compuertas

programadas en campo (Field Programmable Gate Array). El generador PWM fue

implementado en un inversor trifásico en cascada de 5 niveles. En la etapa de

implementación se comprobó que las formas de onda obtenidas eran las que habían

sido reportadas en la simulación del sistema. Se hace un particular énfasis en las

estrategias de modulación utilizadas, se aconseja realizar las mismas pruebas

experimentales con diferentes estrategias de modulación, ya que se podría obtener

mejores resultados con técnicas un poco más elaboradas como la de espacios

vectoriales.

En [8] se presenta una solución basada en el diseño de un generador de imanes

permanentes y un convertidor multinivel en cascada, que se desarrolló para sintetizar

la salida de alto voltaje. La estrategia de conmutación utilizada reduce los armónicos

de bajo orden. Se analizan los efectos de conmutación en el inversor y se deriva en

una estrategia de conmutación que se verifica en simulación y experimentalmente.

Se propone una nueva estrategia de conmutación para reducir el contenido armónico

sin necesidad de utilizar capacitores costosos. Cabe destacar que aquí se aplica el

concepto de convertidores multinivel modulares, conectándolos en cascada,

obteniendo buenos resultados para diferentes condiciones de operación.

En [9] se propone una estrategia de modelado para un convertidor back-to-back de

tres niveles (Figura 1 . 2), aplicado a turbinas eólicas y en [10] se usa una técnica de

control para convertidores PWM de tres niveles aplicados en turbinas de velocidad

variable. Se logra reducir las pérdidas y aumentar la eficiencia en 1%, además de

obtenerse un circuito resistente al ruido y fácil de sintonizar.

Page 17: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 5

Figura 1 . 2 Convertidor back-to-back conectado a una turbina eólica [22]

En [9] y [11] se valida una vez más las ventajas de utilizar un convertidor multinivel y

también un convertidor back-to-back, ambos aplicables a turbinas eólicas de

velocidad variable. Estos desarrollos tuvieron buenos resultados experimentales. Es

necesario mencionar que la conexión en paralelo de dispositivos permite el manejo

de niveles de corriente más altos.

En [12] y [13], se presentan esquemas de control de velocidad variable para turbinas

eólicas con generador síncrono de imanes permanentes (Permanent Magnet

Synchronous Generator), la diferencia entre estos artículos es que en el primero se

toma en cuenta una caja con engranes, mientras que en la segunda no se considera,

además en ambos se modela el generador. Estos modelos aportan buenos

resultados y fueron desarrollados en Matlab.

Figura 1 . 3 Esquema eléctrico de una turbina eólica de velocidad variable equipada con un PMSG de

accionamiento directo [13]

Page 18: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 6

En [14], al igual que en [12] y [13], se realiza el modelado de turbinas eólicas, pero

en este caso se basan en un generador de inducción doblemente alimentado (Doubly

Fed Induction Generator), el modelo se desarrolló en Matlab; sin embargo, no

presenta un buen resultado ya que no se consideraron varios factores que son

importantes para aproximarse más a un sistema real.

En [15] y [16] se hace la comparación de los generadores de accionamiento directo y

los generadores con caja de engranes en sistemas de generación eolo-eléctrica. En

total son cinco sistemas, de los cuales sólo tres poseen engranes, otro más cuenta

con excitación externa de CD y el último es un generador de imanes permanentes de

accionamiento directo. El generador de imanes permanentes es la mejor opción ya

que no tiene escobillas ni caja de engranes además posee las ventajas de un

convertidor de plena potencia. La desventaja del generador de imanes permanentes

es que, comparado con los sistemas generadores con caja de engranes, resulta

demasiado caro.

En [17] se presenta una estrategia de control de una turbina eólica de velocidad

variable con PMSG y un convertidor de potencia de plena escala con transistores

bipolares de compuerta aislada (IGBT). Se demostró que la turbina variable PMSG

soporta la conexión a la red. Aún cuando no es muy popular, el generador síncrono

múltipolo puede operar con velocidades relativamente bajas [18], lo cual lo hace una

buena opción para aplicaciones de baja potencia. La desventaja de este equipo son

los costos de mantenimiento, ya que es necesario cambiar los imanes permanentes

cada determinado tiempo y esta acción no puede ser realizada mientras la turbina

está en funcionamiento; por este motivo es necesario sacarla de operación.

En [10] se propone un sistema de control avanzado para un convertidor trifásico de

tres niveles modulado por PWM, acoplado a un generador síncrono de imanes

permanentes. El esquema de control está basado principalmente en el manejo de la

potencia reactiva y activa. Posee además unos bloques extras como un filtro LCL

que conecta el convertidor a la red y un modulador PWM con un enlace de CD

Page 19: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 7

balanceando que minimiza las pérdidas. Muestra un buen desempeño pero el control

es complicado ya que al agregarse otros bloques al sistema, éste se vuelve cada vez

más complejo (Figura 1 . 4).

Figura 1 . 4 Sistema de control propuesto en [10]

En [19], se propone una técnica de control de corriente directa para una máquina

múltipolos síncrona de imanes permanentes (PMSM). En este método es

indispensable controlar la corriente en todos los componentes, esto permite lograr un

buen control del torque, lo cual nos dice que podemos modificar la velocidad de la

máquina si así lo deseamos. El método fue probado en una máquina síncrona de 24

polos, se obtuvieron buenos resultados, aunque posee la desventaja de que los rizos

de corriente son elevados.

La revisión del estado del arte concluye que los convertidores multinivel, son una

buena opción para las aplicaciones eólicas. Existe poca literatura sobre los

Page 20: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 8

generadores múltipolo, ya que son relativamente nuevos en esta área de aplicación,

pero su uso está en aumento día con día y con buenos resultados.

1.4 Planteamiento del problema

En CENIDET ya se han realizado investigaciones y desarrollado trabajos:

En [5] se realizó la implementación de un emulador de turbina eólica, el cual

es utilizado para llevar a cabo pruebas de generación eolo-eléctrica

En [6] se usó un convertidor back-to-back con una máquina de inducción

doblemente alimentada para un sistema de generación de energía a velocidad

variable, para ser aplicado en un sistema aislado

Con el propósito de construir un banco de pruebas de generación eoloeléctrica.

Sin embargo, no existe una investigación donde se haya usado un generador

multipolos en conjunto con un convertidor multinivel. Lo que dificulta comprobar las

ventajas de esta topología, ya que al no contar con un sistema de este tipo, que sea

capaz de aprovechar al máximo la energía resultante, se tienen pérdidas

económicas.

Además, debido a la constante demanda de nuevos y mejores sistemas de

conversión de energía eólica, se hace necesario, que día con día se lleve a cabo el

diseño y construcción de nuevos equipos, con la finalidad de analizar las ventajas

que estos presentan y probar su potencial de aplicación.

1.5 Propuesta de solución

Para completar el banco de pruebas de generación eoloeléctrica, se debe realizar

una maqueta que emule el comportamiento de un sistema de conversión de energía

eólica. El cual esté basado en una máquina de inducción doblemente alimentada y

use un convertidor de potencia para transferir la energía generada a la carga.

Page 21: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 9

Se propone el diseño e implementación de una maqueta experimental que emule el

comportamiento de un sistema de conversión de energía eólica. El sistema está

compuesto por los siguientes elementos: un emulador de turbina eólica y un

generador multipolos en cascada con un convertidor multinivel (Figura 1 . 5).

M

Emulador de turbina

eólica

DFIG usada como

generador

multipolos

Convertidor Multinivel

Carga

trifásica

G

Figura 1 . 5 Sistema propuesto

El emulador de turbina eólica es un motor de CD que se alimenta con dos fuentes

independientes, una para el campo y otra para la armadura. Funciona de la siguiente

manera: el voltaje para el campo se mantiene constante, mientras que el voltaje

proporcionado a la armadura se varía para lograr los cambios de velocidad

deseados.

El emulador de turbina eólica está conectado al generador de inducción doblemente

alimentado (DFIG) de cuatro polos usado como generador multipolos. Los voltajes

generados en el estator del generador multipolos son de CA, pero el convertidor

multinivel se alimenta con voltajes de CD; por este motivo, se incluye un rectificador

no controlado antes del convertidor multinivel. El convertidor de potencia se conecta

en cascada al DFIG.

El objetivo es comprobar el potencial de aplicación del generador multipolos

acoplado con el convertidor multinivel en cascada. Los interruptores localizados en

el convertidor multinivel seran gobernados por el modulador implementado en el

FPGA, utilizando la técnica de modulación PSPWM.

Page 22: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 10

1.6 Objetivos

1.6.1 Objetivo general

Elaborar la maqueta experimental que emule el comportamiento de un WECS

(sistema de conversión de energía eólica), basado en un convertidor en cascada con

el generador multipolos.

1.6.2 Objetivos particulares

Implementar el modulador que gobierne el encendido y apagado de los interruptores en el convertidor

Acoplar las partes mecánicas y eléctricas del sistema

Validar mediante el protocolo de pruebas el funcionamiento correcto del sistema

1.7 Alcances del trabajo

Los alcances del trabajo para el tema de tesis fueron:

Diseño y construcción de un inversor multinivel

La implementación del modulador PWM en un FPGA.

Validación de la técnica de modulación PSPWM

La validación del modulador PWM se realizó en un prototipo de baja potencia

del inversor multinivel en cascada trifásico.

No se contempló el diseño, construcción y puesta en operación de un inversor

multinivel en cascada de mediana o alta potencia.

1.8 Organización del documento

En el capítulo 2 se describen los conceptos y fundamentos teóricos necesarios para

el desarrollo del tema de tesis, relacionados con el inversor multinivel y los

generadores multipolos.

Page 23: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 1 Introducción

cenidet 11

En el capítulo 3 se presenta el diseño e implementación del inversor multinivel, la

elaboración del modulador en el FPGA usando la técnica de modulación por ancho

de pulsos con portadoras desfasadas.

En el capítulo 4 se describen las pruebas y resultados obtenidos en simulación y en

forma experimental. La simulación se llevó a cabo en el programa PSim. Los

resultados obtenidos son de la maqueta experimental que emula un sistema de

conversión de energía eólica.

Para finalizar, en el capítulo 5 se presentan las conclusiones y trabajos futuros que

se sugieren para continuar con la línea de investigación de energías alternas.

Page 24: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

cenidet 12

Capítulo 2 Sistemas de conversión de energía eólica

2.1 Introducción

De las energías renovables no convencionales, la energía eólica es la que ha tenido

un mayor progreso tecnológico en los últimos años en los países desarrollados. La

conversión de energía eólica a eléctrica posee una ventaja significativa respecto a

las energías convencionales, pues no genera emisiones de contaminantes

atmosféricos. Además, en general, es compatible en el uso del terreno junto a otras

actividades como agricultura o ganadería [4].

En los párrafos siguientes se muestra la descripción de los componentes de un

sistema de conversión de energía eólica, ésta se limita a los aspectos más

relevantes, sin considerar todos los aspectos o componentes técnicos que son

importantes para el funcionamiento de los sistemas eólicos de generación eléctrica.

2.2 Aplicaciones eólicas

La energía eólica se origina del movimiento de las masas de aire y corresponde a

una fuente de energía renovable que se encuentra disponible con un potencial muy

grande e importante a nivel mundial [20].

Los aerogeneradores o sistemas de conversión de energía eólica son equipos que

transforman la energía cinética del flujo del viento en energía eléctrica. Están

compuestos esencialmente por un rotor con aspas mejor conocido como “turbina

eólica”, la góndola con caja multiplicadora, generador eléctrico y freno mecánico,

controlador electrónico y mecanismo de orientación. El esquema de funcionamiento

de un WECS acoplado a la red se ilustra en la Figura 2 . 1[4].

Page 25: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 13

Figura 2 . 1 Esquema de funcionamiento de un WECS (aerogenerador)

Un WECS funciona de la siguiente manera: el viento pasa sobre la superficie de las

aspas ejerciendo sobre ellas una fuerza de sustentación que hace girar el rotor. Este

movimiento de rotación es transferido al eje principal y en casi todos los sistemas de

conversión de energía eólica es amplificado por medio de una caja multiplicadora

que aumenta la velocidad de rotación del rotor hasta la velocidad de rotación de un

generador [4].

El generador convierte la energía cinética en energía eléctrica. En el caso de un

sistema conectado a la red eléctrica, la energía producida pasa a través de un

transformador que eleva la tensión desde el nivel de generación hasta el nivel de la

red eléctrica a la que se conecta. La red eléctrica transmite la energía generada para

su consumo.

La energía extraída por un WECS depende de la velocidad del viento, el área del

rotor, el diseño técnico y de la densidad del aire. La velocidad del viento es la

variable que posee el mayor impacto sobre el rendimiento de un aerogenerador,

dado que la energía extraída de una turbina eólica aumenta con el cubo de la

velocidad del viento. Otro factor que afecta es la altura de las torres ya que a medida

que se incrementa la altura sobre el nivel del suelo, la velocidad generalmente

aumenta [16].

Page 26: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 14

La velocidad de giro de las turbinas eólicas puede ser fija o variable. Ambos

conceptos han mostrado su confiabilidad y eficiencia durante años, pero la nueva

generación de turbinas de mega watts tiene una fuerte tendencia a la velocidad

variable del rotor. La velocidad de giro del rotor es una característica importante en

una turbina eólica porque influye directamente en la emisión de ruido [14].

Sistemas de generación con y sin caja multiplicadora

Las aspas son el elemento fundamental de un WECS, captan la energía del viento

mediante la acción de fuerzas aerodinámicas y transmiten el giro rotacional hacia un

eje que está conectado al generador eléctrico mediante una caja multiplicadora (de

engranes o “engranajes”) que incrementa el número de revoluciones traspasadas

desde el rotor a baja velocidad hacia el generador eléctrico convencional a alta

velocidad (Figura 2 . 2).

Eje del rotor

Caja

multiplicadora

(Gearbox)

Eje Gearbox G

Generador de inducción

Turbina eólica

Viento Red eléctrica

Figura 2 . 2 Componentes principales de un WECS con caja multiplicadora (Gearbox)

De la misma manera, se han desarrollado WECS sin caja multiplicadora, que usan

un sistema de transmisión directa, empleando generadores multipolo de baja

velocidad en combinación con la velocidad variable del rotor. Este tipo de

aerogeneradores, que se presenta en la Figura 2.3, evita el uso de aceite lubricante

para el sistema de engranaje, lo que representa una ventaja para la operación y

mantenimiento [21].

Page 27: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 15

Eje del rotor GS

Generador síncrono multipolos

Turbina eólica

Viento Red

eléctrica

Figura 2 . 3 WECS con generador multipolo sin caja multiplicadora

Los WECS disponen de diferentes sistemas de control encargados de manejar los

distintos sistemas mecánicos y eléctricos que hacen posible la generación de energía

eléctrica cumpliendo con los parámetros exigidos tales como voltaje, frecuencia,

potencia reactiva y activa. Los sistemas de control se traducen físicamente en

dispositivos a los cuales se cargan programas capaces de actuar sobre los distintos

mecanismos después de haber analizado las variables correspondientes.

A diferencia de otras formas de generación de energía, estos equipos trabajan con

una fuente de potencia que fluctúa en el tiempo debido a las ráfagas, por lo que se

debe diseñar cuidadosamente el sistema. Por otra parte, se requiere robustez y

confiabilidad. Esto implica especificaciones de diseño muy particulares y da lugar al

desarrollo de tecnologías diversas y sofisticadas en las áreas de máquinas eléctricas,

electrotecnia, electrónica, control, y otras. En el presente trabajo se introducen los

diferentes tipos de generadores eléctricos y conexiones utilizadas en esta aplicación

y las tendencias actuales [22].

2.3 Turbinas eólicas

Desde el inicio del desarrollo de las turbinas eólicas modernas en el año 1957,

marcado por la innovadora turbina Gedser (200 kW), el concepto aerodinámico

principal ha sido un eje horizontal y tres aspas de la turbina, conectada a una red

eléctrica de CA trifásica.

Page 28: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 16

Las actividades en este campo se sintieron alentados por la crisis del petróleo en

1973. Hace veinte años el concepto de la turbina eólica Riisager (Figura 2 . 4), inició

una nueva área. El concepto era similar al aerogenerador Gedser, pero se construyó

usando componentes estándar de bajo costo; por ejemplo, piezas de automóviles ya

desechados. Se convirtió en un éxito y fue el punto de partida para la industria

generadora danesa.

Figura 2 . 4 Primeras turbinas eólicas de la época moderna

Durante las últimas dos décadas, la producción de turbinas eólicas ha crecido en

tamaño de 20 kW a 2 MW. Muchos conceptos diferentes han sido desarrollados y

probados. Aquellos que han tenido éxito son descendientes de la turbina Gedser

[21].

Page 29: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 17

Una de las modificaciones realizadas a las turbinas existentes ha sido en el campo

eléctrico. Desde 1993, algunos fabricantes han sustituido el “tradicional” generador

asíncrono en el diseño de sus turbinas, por un generador síncrono, mientras que

otros fabricantes introdujeron el generador asíncrono de rotor bobinado. Los

desarrollos eléctricos incluyen el uso de la electrónica de potencia en el diseño del

sistema generador, introduciendo el concepto de “velocidad variable”.

Debido a la rápida evolución de la electrónica de potencia, ofreciendo mayor

potencia, capacidad de manejo y menor precio por kW, la aplicación de la electrónica

de potencia en turbinas eólicas se incrementará aún más. Otra cuestión interesante

son los esfuerzos que se han puesto en la investigación y el desarrollo de nuevos

conceptos de motor/generador. Es evidente que los departamentos de desarrollo de

los fabricantes de aerogeneradores están activos, realizando el análisis y evaluación

de los posibles nuevos conceptos de turbinas de viento [21].

A continuación en la Figura 2 . 5 y Figura 2 . 6, se muestran las configuraciones más

comunes en turbinas eólicas.

Figura 2 . 5 Conceptos de turbinas eólicas con generador asíncrono

Page 30: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 18

Figura 2 . 6 Conceptos de turbinas eólicas con generador síncrono [21]

Dependiendo de la configuración particular, el término “convertidor de potencia”

abarca diferentes tipos de componentes de electrónica de potencia tales como: un

arrancador suave (inciso a), una resistencia variable de rotor externa (inciso c), un

rectificador (inciso e conectado en el estator, inciso f, g, h conectado al rotor) y un

convertidor de frecuencia (inciso b, d, e, g y h).

Las configuraciones mostradas en las figuras anteriores ( Figura 2 . 5 y Figura 2 . 6),

presentan el esquema de topologías típicas usadas en las turbinas eólicas. En la

Tabla 2. 1 se enlistan las configuraciones para aerogeneradores, las cuales cubren un

amplio rango de los conceptos de control de potencia aplicados a turbinas de viento.

Tabla 2. 1 Características de las configuraciones de turbinas eólicas

Conf. Convertidor de

potencia

Multipolo o caja

multiplicadora

(gearbox)

Control de

potencia

Comentarios

a Arranque suave Caja multiplicadora Pérdida o pérdida

activa

Una o dos velocidades

b Convertidor de

frecuencia

Caja multiplicadora Pérdida o pérdida

activa

Velocidad variable

Page 31: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 19

c Convertidor PE Caja multiplicadora Variación del

ángulo de ataque

Velocidad variable

limitada

d Convertidor de

frecuencia

Caja multiplicadora Variación del

ángulo de ataque

Velocidad variable

(DFIG)

e Convertidor de

frecuencia

Multipolos Pérdida, pérdida

activa o variación

del ángulo de

ataque

Velocidad variable

f Rectificador Caja multiplicadora Pérdida o

variación del

ángulo de ataque

Velocidad variable

g Rectificador y

convertidor de

frecuencia

Caja multiplicadora Variación del

ángulo de ataque

Velocidad variable con

caja multiplicadora

h Rectificador y

convertidor de

frecuencia

Multipolos Variación del

ángulo de ataque

Velocidad variable con

caja multiplicadora

Una descripción de los aspectos más importantes de las diversas configuraciones

para turbinas eólicas se presenta a continuación:

a) Es el concepto convencional aplicado por muchos fabricantes, turbina de

viento de tres aspas usando un generador de inducción de rotor de jaula de

ardilla. Durante algún tiempo se extendió el concepto con un banco de

capacitores para la compensación de potencia reactiva y un arrancador suave

para una mejor conexión a la red.

b) En esta configuración el banco de capacitores y el arrancador suave son

reemplazados por un convertidor de frecuencia de potencia plena. El concepto

de potencia plena permite la operación a velocidad variable en todas las

velocidades del viento.

c) Esta configuración emplea un rotor bobinado y ha sido usado por Vestas

desde mediados de 1990. La idea básica de este concepto es controlar la

resistencia del rotor usando una resistencia variable externa por medio de un

Page 32: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 20

convertidor de potencia. Con el convertidor montado en el eje del rotor, es

posible controlar el deslizamiento en un rango de 10% (por medio de la

resistencia externa). El control del deslizamiento implica controlar la potencia

de salida en el sistema.

d) Esta configuración emplea un DFIG. Un convertidor de frecuencia controla

directamente la corriente en los devanados del rotor, lo que permite el control

de la salida del generador usando un convertidor de potencia. La introducción

de este concepto fue motivada principalmente por dos razones: 1) Velocidad

variable en un amplio rango de velocidades comparadas con el concepto del

inciso “c” 2) Es menos costoso comparado con el concepto de control de

potencia completa.

e) Una típica aplicación de esta configuración es la carga de baterías, ya que

usualmente proporcionan menos de 1 kW de potencia. También son usadas

en turbinas eólicas para sistemas caseros o sistemas híbridos.

f) Esta configuración no es muy utilizada. Su poco uso comparado con los

conceptos anteriores quizá se deba a tres razones: 1) la necesidad de un

circuito externo de excitación 2) la necesidad de anillos colectores 3) una

estrategia más compleja de seguridad de la turbina eólica, lo que hace que la

configuración sea menos atractiva.

g) Esta configuración es ampliamente usada en turbinas eólicas. Comparada a

las anteriores, esta soporta velocidad variable si el convertidor de potencia de

la red es un convertidor de frecuencia de cuatro cuadrantes.

h) En esta configuración, un generador síncrono multipolo es usado. En principio,

es la misma configuración que el inciso anterior, pero debido al generador

multipolo no se requiere la caja multiplicadora. Las compañías de turbinas

eólicas Enercom y Lagerwey son ejemplos de fabricantes usando este

concepto.

De los conceptos anteriores, la configuración “a” es la única que no soporta

operación con velocidad variable [21].

Page 33: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 21

2.4 Generador multipolos

Las máquinas eléctricas son el resultado de una aplicación inteligente de los

principios del electromagnetismo y en particular de la ley de inducción de Faraday, se

caracterizan por tener circuitos eléctricos y magnéticos entrelazados. Las máquinas

eléctricas realizan una conversión de energía de una forma a otra, una de las cuales,

al menos, es eléctrica. Desde el punto de vista estrictamente energético, es posible

clasificarlas en tres tipos fundamentales: generador, motor y transformador. En este

trabajo, sólo se consideran las máquinas eléctricas que funcionan como generador

[23].

Generador: que transforma la energía mecánica en eléctrica. La acción se

desarrolla por el movimiento de una bobina en un campo magnético,

resultando una f.e.m inducida que al aplicarla a un circuito externo produce

una corriente que interacciona con el campo y desarrolla una fuerza mecánica

que se opone al movimiento. En consecuencia el generador necesita una

fuente de energía mecánica de entrada para producir la energía eléctrica

correspondiente [24].

Cada máquina en particular cumple el principio de reciprocidad electromagnética, lo

cual quiere decir que son reversibles, pudiendo funcionar como generador o motor

(aunque en la práctica existen diferencias en su construcción, que caracterizan a uno

u otro modo de operación).

En las turbinas eólicas diversos tipos de generadores son candidatos.

Comercialmente los generadores de jaula de ardilla así como los generadores de

inducción son los más populares. También están los generadores síncronos o

alternadores, estos operan a velocidad síncrona, es decir a la velocidad que gira el

campo magnético creado por las bobinas [25]. En el siguiente esquema (Figura 2 . 7)

se muestran las formas de conexión de una turbina eólica a un generador eléctrico.

Dentro de los dispositivos de accionamiento directo se encuentran las máquinas

múltipolos. De igual forma se encuentran las máquinas síncronas y de inducción.

Page 34: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 22

Figura 2 . 7 Proceso de conversión de la energía mecánica en eléctrica

Como vemos en la figura anterior, cuando se trata de generar energía eléctrica por

medio de la potencia del viento existe una variedad de configuraciones posibles que

se pueden diferenciar en las características eléctricas, electrónicas y mecánicas. El

número de opciones para escoger es grande, la selección de un diseño final es largo

y se convierte en un proceso muy complicado [26].

A continuación se presentan las razones para utilizar un generador del tipo multipolo:

El inconveniente principal del uso de generadores de bajo número de polos (2, 4, 6

etc.), es la necesidad de implementar una caja multiplicadora, la cual incrementa el

peso, genera ruido, demanda un mantenimiento regular e incrementa las perdidas

del WECS. El incremento del costo no es significativo, pero es un elemento que en

algunos aerogeneradores ha sido fuente de graves problemas. Por ello se utilizan

cada vez mas sobretodo en aerogeneradores de velocidad variable, generadores

Page 35: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 23

síncronos con alto número de polos bien sean electroimanes o imanes permanentes

[27].

Este tipo de generador multipolos evita el uso de caja multiplicadora debido a que al

disponer de un gran número de polos, su velocidad de sincronismo es baja y

perfectamente compatible con la velocidad del rotor de la turbina eólica.

En aerogeneradores de pequeña potencia (hasta 12 kW) se utilizan mayormente

generadores síncronos de imanes permanentes. Esto es debido principalmente a su

robustez y su bajo mantenimiento, evitan el uso de cajas multiplicadoras, aunque su

precio es algo mayor. Este tipo de generadores se está utilizando cada vez más en

aerogeneradores de gran potencia debido a lo reducido de su peso y volumen al

utilizar imanes con gran magnetismo [15].

2.5 Convertidores multinivel

El incremento de la demanda mundial de energía exige la aparición de nuevas

topologías de convertidores y de nuevos dispositivos semiconductores capaces de

manejar grandes cantidades de potencia. La última generación de dispositivos

semiconductores son capaces de manejar voltajes de 2.5kV y corrientes de 6.5kA

como máximo.

Sin embargo, existe actualmente una dura competencia entre el uso de topologías

clásicas de convertidores de potencia, con dispositivos de alto voltaje, y el uso de

nuevas topologías de convertidores utilizando dispositivos semiconductores con

capacidad de manejo de voltajes medios [28].

Los convertidores multinivel presentan grandes ventajas comparados con los

convencionales (de dos niveles), entre estas, la más atractiva es la relacionada a la

calidad de las ondas de salida generadas (Figura 2 . 8). Entre más elevado es el

número de niveles, la calidad de la onda de salida mejora, reduciendo la distorsión

Page 36: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 24

armónica total (THD: Total Harmonic Distortion). Además, puede manejar una

potencia nominal mayor que en los convertidores convencionales.

Figura 2 . 8 Comparativa de señales en convertidores (a) y (b) de dos y tres niveles (c) multinivel

Estas propiedades hacen a los convertidores multinivel muy atractivos para la

industria. Actualmente investigadores alrededor del mundo realizan grandes

esfuerzos para mejorar el desempeño de los convertidores multinivel: simplificando el

control y optimizando los algoritmos usados para reducir la THD. Muchas personas

trabajan en el desarrollo de nuevas topologías, ya sea hibridas o nuevas y en el

desarrollo de nuevas estrategias de control [28].

Page 37: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 25

2.4.1 Topologías existentes para convertidores multinivel

Las topologías de convertidores multinivel más comunes son:

Convertidor multinivel de diodos de enclavamiento (NPC)

Convertidor multinivel de condensadores flotantes (FC)

Convertidor multinivel en cascada (CHB) [28]

2.4.1.1 Convertidor multinivel de diodos de enclavamiento

La función principal de un inversor multinivel de diodos de enclavamiento (DCMLI) es

sintetizar una onda sinusoidal a partir de varios niveles de tensión, normalmente

obtenidos de condensadores que funcionan como fuentes de CD. Los

condensadores utilizados se conectan en serie para dividir la tensión, de esta

manera los dispositivos de potencia operan con una tensión menor entre terminales.

La salida de tensión se obtiene conectando la carga entre los puntos A y B formando

un puente completo (Figura 2 . 9).

Figura 2 . 9 Estructura monofásica del convertidor con diodos de enclavamiento

Como se observa en la Figura 2 . 9, se emplean dos condensadores (C1 y C2) para dividir

la tensión proporcionada por la fuente (Vcd) que alimenta este inversor. Debido a su

principio de operación los diodos de enclavamiento pueden llegar a manejar la tensión

de más de un nivel, aunque los interruptores principales sólo manejen la tensión de un

Page 38: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 26

solo nivel. Lo anterior provoca que se tenga que utilizar la conexión en serie de diodos

para repartir las tensiones. Una ventaja de esta topología es que el control es simple;

una desventaja es que entre más se incrementa el número de niveles en el inversor, se

dispara el costo por la excesiva cantidad de diodos que se requieren [29].

Particularmente los convertidores multinivel con diodos de enclavamiento han

encontrado un mercado importante en aplicaciones convencionales tales como:

accionamiento de motores de CA de gran potencia, cintas transportadoras, bombas,

ventiladores y molinos [30].

2.4.1.2 Convertidor multinivel de condensadores flotantes

El convertidor multinivel de condensadores flotantes (FCMLI), se considera la alternativa

más cercana de la topología de diodos de enclavamiento. Para este tipo de inversor

multinivel, la salida puede expresarse como las posibles combinaciones de conexión de

los condensadores de los que se compone. Su estructura (Figura 2 . 10) es parecida al

DCMLI pero utiliza condensadores en lugar de diodos para establecer los niveles de

tensión.

Figura 2 . 10 Convertidor multinivel con condensadores flotantes

Una diferencia con el convertidor de diodos de enclavamiento es que en este caso no se

requieren de diodos extras. Por medio de las conmutaciones adecuadas se proporciona

a la salida la tensión presente en los condensadores. Esta topología limita de manera

Page 39: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 27

natural los incrementos de voltaje de los dispositivos e introduce más estados de

conmutación, que pueden ser usados para mantener balanceada la carga de los

condensadores.

Las desventajas de este tipo de inversor son: la necesidad de cargar los condensadores

antes de empezar a operar como inversor, lo que implica una posible secuencia de

“carga” o “arranque” o utilizar algún sistema externo para monitorear la carga de los

condensadores y mantenerlos a la tensión deseada. Para un número elevado de niveles

se deben usar muchos condensadores. El control del inversor es complicado cuando el

número de niveles es alto, ya que se debe mantener un nivel de tensión en los

condensadores y a la vez realizar la operación como inversor. Los convertidores

multinivel con condensadores flotantes, son más utilizados para aplicaciones donde se

requieren frecuencias de conmutación altas, con un ancho de banda amplio [29].

2.4.1.3 Convertidor multinivel en cascada

Esta topología realiza la misma función que las anteriores, genera una tensión senoidal

a partir de distintas fuentes de CD y su estructura se basa en la conexión en cascada de

inversores puente completo. Este tipo de configuración es muy utilizada en aplicaciones

en fuentes de CA y variadores de velocidad. El éxito de esta última topología se debe a

su capacidad de expansión en serie [31].

Va

0

Vcd1

Vcd2

Figura 2 . 11 Convertidor multinivel en cascada

Page 40: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 28

Este tipo de inversor (Figura 2 . 11) evita el uso de diodos de enclavamiento o

condensadores de balanceo de tensión. Es posible obtener una mínima distorsión

armónica al controlar los ángulos de disparo de los diferentes niveles de tensión.

Las principales características de la topología de inversor multinivel de puentes

completos en cascada son:

a) La tensión de fase es la suma de las tensiones de salida de los inversores puente

completo individuales.

b) Gran flexibilidad para poder incrementar el número de niveles, ya que sólo se

necesita agregar inversores sin tener que rediseñar la etapa de potencia.

c) Conforme aumenta el número de niveles, la tensión que soportan los dispositivos

semiconductores disminuye, debido a que cada inversor maneja solo la tensión

presente en su fuente de alimentación.

d) Es posible balancear las pérdidas por conmutación, ya que dependiendo del

número de niveles es posible que diferentes conexiones de inversores puente

completo proporcionen la misma tensión en la salida del inversor multinivel [32].

En el caso de esta topología, el número de niveles “n” se calcula con base en las

fuentes de CD (s) que tengamos, de acuerdo a (1):

𝑛 = 2𝑠 + 1 … . . 1

Para un convertidor monofásico formado por un solo puente completo tendremos:

𝑛 = 2 1 + 1 = 3

Como se tiene un puente completo, lo único que se requiere para alimentarlo es una

fuente de CD. Por consiguiente se obtiene un inversor de tres niveles, en el caso de

que se quieran más niveles, es cuestión de agregar los puentes completos deseados

y las fuentes que los alimentan. La tensión en la salida se obtiene por medio de la

suma de las tensiones que cada inversor individual proporciona, entonces la tensión

de fase 𝑉𝑎𝑛 se puede expresar como (2):

Page 41: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 2 Sistemas de conversión de energía eólica

cenidet 29

𝑉𝑎𝑛 = 𝑉1 + 𝑉2 + ⋯ + 𝑉𝑠−1 + 𝑉𝑠 … . (2)

Para cada topología existen diferentes métodos de control y cada uno depende

fuertemente de la aplicación. Los convertidores multinivel tienen muy buenas

ventajas, y se pueden obtener resultados mejores, si se aplica una estrategia de

control adecuada. Para este trabajo de tesis se consideró el convertidor multinivel en

cascada por sus ventajas y además porque su diseño es modular [31].

Page 42: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

cenidet 30

Capítulo 3 Diseño e implementación de la maqueta experimental

3.1 Introducción

En este capítulo se presenta el diseño del convertidor multinivel, el desarrollo de la

etapa de control del mismo, implementado en un arreglo de compuertas

programables en campo (FPGA) y la forma en que se conectó el generador

multipolos con el inversor multinivel. El generador multipolos está basado en una

generador de inducción doblemente alimentada (DFIG).

3.2 Configuración del generador multipolos

El generador multipolos se implementó en un DFIG, el cual se muestra en la Figura 3.

1. La razón para implementar el sistema generador en una máquina de inducción es

que ésta cumple con las condiciones que se buscan en el diseño del sistema, ya que

es un equipo de baja potencia y además de fácil manejo.

Figura 3. 1 DIFG utilizado como generador multipolos

Page 43: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 31

En el generador de la figura anterior se tiene la opcion de operar con dos o cuatro

polos. En la Figura 3. 2 se observan los devanados disponibles en el estator del DFIG.

Figura 3. 2 Esquema de los devanados en el estator para máquinas de CA

Al establecerse un puente entre U2-U5, V2-V5 y W2-W5, las bobinas asociadas a

esos devanados se colocan en serie y, por lo tanto, el generador funciona con dos

polos. Al remover el puente, cada bobina queda independiente y se obtiene el

funcionamiento como un generador de cuatro polos con devanados separados.

De acuerdo a la bibliografía revisada, existen varios tipos de generadores disponibles

para aplicaciones eólicas [33], [14], [21], [17], [34] y [35]. Con base en el estudio

realizado, un generador síncrono de imanes permanentes (PMSG), es la mejor

opción para implementar la maqueta experimental; sin embargo, en cenidet no se

cuenta con una máquina de este tipo y por esa razón se ha trabajado anteriormente

con un DFIG [5] y [6].

Para comprender el concepto de generador multipolo es necesario trasladarnos al

concepto y estructura básica de una máquina eléctrica. En una máquina eléctrica,

tenemos un rotor y estator; el rotor es la parte que siempre está en movimiento

mientras que, el estator es fijo. Debido a los campos magnéticos que se generan en

el rotor al girar y que cortan las líneas de flujo de las bobinas del estator, en las

Page 44: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 32

bobinas del estator se induce un voltaje de cierta magnitud y frecuencia,

dependiendo de la velocidad del rotor. Las bobinas del estator de la máquina de

inducción tienen terminales de salida donde se puede medir el voltaje inducido. En

un WECS los generadores son conectados a un convertidor de potencia el cual, de

acuerdo a la topología empleada, proporciona energía para ser almacenada o

distribuida.

En la Figura 3. 3, se puede observar que naturalmente una bobina nos produce dos

polos, “N” y “S”. Cuando se trata de una conexión trifásica, se tiene una bobina por

cada fase (o dos polos por fase). Podemos esperar seis terminales a las que se

conecta alguna carga (Figura 3. 4).

Figura 3. 3 Generador con dos polos (un devanado)

Figura 3. 4 Conexiones disponibles para un generador trifásico con dos polos

Page 45: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 33

En este caso, por las características de la máquina eléctrica, cuando se opere con

cuatro polos, se tendrán disponibles 12 terminales o lo que es lo mismo seis

devanados separados del estator .En la Figura 3. 5 se puede observar las terminales

del estator.

Figura 3. 5 Conexiones disponibles en el DFIG

El DFIG está basado en una máquina de inducción doblemente alimentada, su

matrícula es DL10280, con una potencia nominal de ½ HP (aproximadamente

372W), para un voltaje nominal trifásico de 42Vrms. La conexión de los bobinados

del rotor y estator es en estrella (Y) con una relación de vueltas de 1:1.

Es importante mencionar que el generador multipolos proporciona seis señales de

frecuencia y amplitud variable, una por cada devanado del estator. En la Figura 3. 6 se

observa que, para cierta velocidad el generador proporciona una señal con una

amplitud de 36.8 Vrms a una frecuencia de 76 Hz aproximadamente; sin embargo, al

cambiar la velocidad del emulador de turbina eólica se obtiene una onda de voltaje

de menos amplitud 34.1 Vrms y mayor frecuencia 89 Hz (Figura 3. 7).

Page 46: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 34

Figura 3. 6 Señales de voltaje medidas en el estator del generador multipolos

Las señales de voltaje se midieron en tres de los seis devanados del estator, debido

a que el osciloscopio sólo cuenta con cuatro canales no fue posible anexar las

formas de onda de todas las señales.

Figura 3. 7 Señales de voltaje al variar la velocidad en el emulador de turbina eólica

Page 47: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 35

En un WECS real de velocidad variable sucede lo mismo que con las formas de

ondas de la Figura 3. 6 y Figura 3. 7: la amplitud de las ondas es variable en el tiempo y

también la frecuencia de la onda de acuerdo a los cambios de velocidad en la turbina

eólica. Es por eso que los sistemas de conversión utilizan un convertidor para ajustar

la frecuencia del voltaje entregado a fin de que esta sea constante.

3.3 Diseño del convertidor multinivel

Un inversor multinivel en cascada se caracteriza por tener dos o más circuitos puente

completo conectados en serie.

Por la configuración que tiene el generador, se pueden alimentar dos puentes

completos por cada fase. Antes de continuar es importante definir el concepto de

“puente completo”, que es un convertidor de cuatro cuadrantes que permite el paso

de la corriente en un sentido u otro. El puente H como también es llamado, está

formado por cuatro interruptores. En la Figura 3. 8 se observa la estructura de un

puente completo. El término “Puente H” proviene de su representación gráfica típica.

Va

Vcd1Vb

S11

S12

S13

S14

Figura 3. 8 Estructura básica de un puente completo

Como se observa en la figura anterior, un puente completo es alimentado por una

fuente de CD o “bus de CD”. El nivel de tensión del bus de CD se determina con

base en el voltaje que requiere la carga. Las terminales Va y Vb son las de la carga.

El voltaje en la carga tiene una polaridad cuando se conmutan 𝑆11 y 𝑆13, esta

polaridad se invierte cuando se activan 𝑆12 y 𝑆14. En total se tienen dos polaridades

Page 48: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 36

posibles: positiva y negativa. Cuando los transistores se encuentran apagados la

salida queda flotando. Si se añade otro puente completo en serie, se incrementa el

número de niveles a la salida del convertidor.

Figura 3. 9 Inversor de cinco niveles en cascada y la forma de onda generada

Como se observa en la Figura 3. 9, al añadir el puente H en cascada se incrementa el

número de fuentes requeridas para alimentar el convertidor de cinco niveles. El

generador multipolos proporciona dos señales de voltaje de CA, de amplitud y

frecuencia variable por cada fase. En total se requieren seis fuentes de voltaje de CD

para alimentar el convertidor multinivel trifásico (Figura 3. 10). Este es el motivo de

incluir el rectificador no controlado en el diseño del inversor multinivel.

Convertidor Multinivel

Vcd5

Vcd6

Vcd3

Vcd4

Vcd1

Vcd2

Fase A Fase B Fase C

Figura 3. 10 Esquema del convertidor multinivel trifásico

Page 49: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 37

En el esquema de la figura anterior no se incluye el circuito rectificador, ya que por lo

regular se utilizan fuentes de voltaje separadas para alimentar los puentes

completos. Esto es caro e impráctico.

En este trabajo de tesis se propone un circuito que no depende de fuentes externas

sino de la energía del generador multipolos, lo cual representa una ventaja sobre

otras topologías usadas hasta el momento.

Para rectificar las señales de CA, se utilizó un rectificador no controlado usando el

circuito integrado DB102 y un capacitor electrolítico de 1000𝑢𝐹 para filtrar la tensión.

El rectificador de onda completa (DB102) soporta tensiones de hasta 150 Vrms y

corrientes del orden de los 2 Amperes.

El esquema del circuito utilizado para rectificar la señal, se muestra en la Figura 3. 11.

Figura 3. 11 Circuito rectificador y regulador

Además del rectificador no controlado, se agregó el regulador de voltaje LM7805 que

proporciona en su salida 5 𝑉𝐶𝐷, usados para alimentar las compuertas internas del CI

L298N.

Continuando con el diseño del inversor, se buscó satisfacer ciertas necesidades de

diseño; por ejemplo, se requería un circuito integrado que internamente tuviera un

puente completo de baja potencia y que ofreciera la capacidad de controlar las

Page 50: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 38

conmutaciones de una manera sencilla. Esto llevó a escoger el circuito integrado

L298N que se muestra en la Figura 3. 12.

Figura 3. 12 Circuito integrado L298N

El circuito integrado de la Figura 3. 12 está compuesto de un conductor en puente

completo de alto voltaje y alta corriente, diseñado para aceptar la norma de niveles

lógicos TTL y cargas inductivas tales como relés, solenoides, motores de CD y paso

a paso. Su diagrama interno se muestra en la Figura 3. 13.

Figura 3. 13 Diagrama interno del CI L298

Dos entradas de habilitación (EnA y EnB en la Figura 3. 13) permiten activar o

desactivar el dispositivo, independientemente de las señales de entrada. Se agrega

Page 51: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 39

una entrada adicional de menor tensión para referenciar las compuertas que

funcionan con 5 volts, razón por la cual se agregó el regulador de tensión (Figura 3. 11)

al diseño del inversor multinivel. Las características del CI L298N se muestran en la

Tabla 3. 1.

Tabla 3. 1 Características eléctricas del CI L298N

A continuación en la Figura 3. 14, se muestra el esquemático del diseño completo del

convertidor multinivel, incluyendo el rectificador y regulador de voltaje.

Figura 3. 14 Esquemático del convertidor de cinco niveles monofásico

Page 52: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 40

Figura 3. 15 Convertidor de cinco niveles monofásico en circuito impreso

El resultado del diseño se muestra en la Figura 3. 15 donde se puede ver la placa de

circuito impreso del convertidor multinivel en su versión monofásica.

Figura 3. 16 Convertidor multinivel conectado al generador multipolos

Page 53: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 41

En la Figura 3. 16 se observa la forma en que el convertidor multinivel se conectó al

generador multipolos y al modulador FPGA. En la Figura 3. 17 se observa el

convertidor multinivel trifásico.

Figura 3. 17 Convertidor multinivel trifásico

El resultado del proceso de diseño del convertidor multinivel es una maqueta

experimental de baja potencia (Figura 3. 17), modular, de bajo costo y funcional.

3.3.1 Técnica de modulación PSPWM

Después de una revisión del estado del arte, se concluyó que la técnica adecuada de

modulación para convertidores multinivel en cascada es la de modulación por ancho

de pulso con portadoras desfasadas (PSPWM). La característica principal de esta

técnica es la de realizar corrimientos de fases entre portadoras con la finalidad de

enviar el rizo de conmutación a frecuencias mucho más altas que la de conmutación;

debido a esto se puede reducir la THD en el voltaje de salida [31]].

Otra característica importante es la capacidad para variar la amplitud de la tensión de

salida, lo cual se lleva a cabo variando el índice de modulación en amplitud. Si

tomamos en cuenta que en ocasiones existen desbalances entre las tensiones

requeridas por él inversor y las tensiones proporcionadas por el generador, entonces

Page 54: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 42

se puede compensar el desbalance entre ambas modificando el índice de

modulación.

Existe un parámetro con el que podemos estimar la frecuencia de conmutación de

los interruptores: es el índice de modulación en frecuencia 𝑚𝑓 y se define como:

𝑚𝑓 =𝑓𝑝𝑜𝑟𝑡𝑎𝑑𝑜𝑟𝑎𝑓𝑚𝑜𝑑𝑢𝑙𝑎𝑑𝑜𝑟𝑎

… . (3)

De acuerdo a estudios previos se recomienda que este 𝑚𝑓 sea mayor a 21. En

particular se propuso un 𝑚𝑓 = 50, para no estresar térmicamente a los interruptores

[31].

De acuerdo al número de niveles se calcula el número de señales portadoras, se

requieren n-1 señales portadoras por cada nivel (𝑛 = 𝑛𝑖𝑣𝑒𝑙), lo anterior por fase.

Ejemplo:

Para un inversor de 9 niveles (𝑛 = 9)

Portadoras = n-1 = 8

Debe recordarse que son cuatro señales portadoras por fase.

3.3.1.1Desfase entre señales

Las señales portadoras tienen un desfase entre sí, de acuerdo al número de niveles

que se tenga en el inversor. El desfasamiento se calcula con (4):

𝜑 =360

𝑛 − 1… . . (4)

Para un convertidor de cinco niveles, el desfasamiento entre portadoras es:

𝜑 =360

5 − 1= 90°

Page 55: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 43

Se requiere una señal moduladora por cada fase del sistema. En este caso la

maqueta es un sistema trifásico, por lo cual se tienen tres señales moduladoras

desfasadas 120°.

A continuación en la Figura 3. 18 se muestran las señales portadoras y moduladoras

para el caso de un sistema monofásico de cinco niveles, las señales están

desfasadas 90°.

Otro elemento que es necesario tomar en cuenta es el número de circuitos

comparadores, encargadas de generar el patrón de conmutación de los interruptores.

El número de circuitos usados por fase esta dado por (5):

𝐶𝑜𝑚𝑝𝑎𝑟𝑎𝑑𝑜𝑟𝑒𝑠 = 𝑛ú𝑚𝑒𝑟𝑜 𝑑𝑒 𝑛𝑖𝑣𝑒𝑙𝑒𝑠 − 1 … . (5)

Figura 3. 18 Formas de onda de la técnica PSPWM

En la Figura 3. 18 se observan: a) señales portadoras y moduladora, de b) a e) la

comparación de las señales y f) la forma de onda de salida.

Page 56: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 44

3.3.2 Implementación del modulador

Para el control del convertidor multinivel se implementó un modulador en un FPGA

Spartan 3 como el de la Figura 3. 19. Los patrones de conmutación generados en el

modulador obedecen a la técnica PSPWM. Se describe el lenguaje utilizado y la

programación del FPGA.

Un FPGA es un dispositivo que contiene bloques lógicos cuya interconexión y

funcionalidad se pueden configurar de acuerdo a lo que se desee realizar. La lógica

programable puede reproducir operaciones tan sencillas como las llevadas a cabo

por una compuerta lógica (“and”,”or”, etc.) o hasta un sistema tan complejo como el

de un microprocesador [36].

Figura 3. 19 FPGA utilizado para implementar el modulador que controla las señales de encendido y

apagado de los interruptores ubicados en el inversor multinivel.

Las especificaciones del FPGA son [37]:

o Matrícula XC3S400

o Arreglo de compuertas 400 000

o 264 Entradas/Salidas

o 116 Entradas/Salidas diferenciales

o 4 Administradores de reloj digital (DCM)

o Reloj de 50 MHz

Page 57: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 45

o Socket para un reloj auxiliar

o 2 Mbit de memoria programable de solo lectura (PROM)

o 1 Mbit de memoria no volátil

o Puerto para mouse PS/2

o Puerto VGA

o Conector hembra DB9

o Cuatro displays de siete segmentos

o Ocho interruptores deslizables

o Ocho LED‟s de salida

o Cuatro push-bottoms

o Tres conectores de expansión

o Reguladores de voltaje a 3.3 𝑉, 2.5𝑉 𝑦 1.2 𝑉

El lenguaje de programación empleado es VHDL (es la combinación de VHSIC que

significa “Circuitos integrados de muy alta velocidad” y HDL que significa “Lenguaje

de descripción de hardware”) [38].

VHDL

Para programar en este lenguaje, es necesario seguir el flujo de diseño el cual

incluye tres actividades principales:

1. Introducción/Descripción del diseño

2. Realización/Implementación del diseño

3. Programación del dispositivo [39]

Se describen brevemente los tres puntos anteriores:

Page 58: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 3 Diseño e implementación

cenidet 46

1.- En el caso de la introducción del diseño, esta se puede efectuar mediante la

captura de esquemáticos o mediante la descripción basada en lenguaje. Después de

realizar la descripción del diseño, se verifica la funcionalidad de la lógica.

2.- Durante la implementación del diseño, se realizan varios procesos como: síntesis

del diseño, verificación de reglas de diseño, mapeo de la lógica, colocación de la

lógica en los bloques configurables, enrutamiento y la creación del archivo de

programación. De igual forma se puede depurar el código mediante el uso de otros

programas.

3.- Después de la creación del archivo de programación, el FPGA está listo para ser

programado e implementar el algoritmo en el dispositivo.

El programa “ModelSim” fue empleado para realizar la simulación y compilación del

código VHDL, con el fin de verificar que este funcionaba correctamente y además, al

usar este programa se asegura que la herramienta Xilinx Ise puede sintetizar el

código [40].

Page 59: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

cenidet 47

Capítulo 4 Resultados

4.1 Introducción

En este capítulo se presentan los resultados experimentales y de simulación,

utilizando el convertidor multinivel y el generador multipolos mostrado en el capítulo

3.

Cabe mencionar que las simulaciones no siempre son aproximadas a la realidad, ya

que en ellas no se consideran muchos factores que en el mundo real se encuentran

presentes.

Durante las pruebas realizadas, únicamente se vario la velocidad del emulador de

turbina eólica y el índice de modulación “𝑚”. La carga se mantuvo constante durante

todo el tiempo.

Page 60: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 48

4.2 Resultados en simulación

4.2.1 Simulación del inversor multinivel

Se llevó a cabo la simulación del inversor de cinco niveles, en la Figura 4. 1 se muestra

sólo una fase del circuito completo.

Figura 4. 1 Esquema del inversor multinivel monofásico realizado en PSim v7.0

La simulación del circuito es completamente ideal, ya que no se agregan los retardos

en las señales de conmutación, los desbalances en el bus de CD y por ser ideales,

los interruptores no tienen pérdidas.

Page 61: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 49

En la Figura 4. 2 se muestra la señal de salida de la fase A para un convertidor de

cinco niveles.

Figura 4. 2 Voltaje (azul) y corriente (rojo) en una rama del inversor multinivel

En la Figura 4. 2 se observa que el voltaje y la corriente se encuentran en serie, por ser

una carga resistiva. La frecuencia de conmutación utilizada es de 1 kHz.

Para una frecuencia de conmutación de 3.5 kHz, los voltajes del convertidor

multinivel se observan en la Figura 4. 3.

Figura 4. 3 Señales de voltaje del convertidor multinivel trifásico

Page 62: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 50

El desfase entre los voltaje de la figura anterior es de 120°.

Figura 4. 4 Voltaje en una fase del convertidor multinivel

En la figura 4.4 se muestra el valor del voltaje cuando el bus de CD tiene un valor de

20 Volts. Como se observa en la figura, el valor eficaz de la señal es de

aproximadamente 24 Vrms. Al aumentar el valor de la fuente de CD el valor del

voltaje eficaz aumenta y se presenta en la figura 4.5.

Figura 4. 5 Señal de voltaje al aumentar la tensión en el bus de CD

Page 63: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 51

Se cálculo la THD con la ecuación 4.1, para la forma de onda de la Figura 4. 5 dando

como resultado una distorsión del 35%. El valor de la THD puede disminuir

aumentando el número de niveles del convertidor multinivel.

𝑇𝐻𝐷 = 𝑉𝑛𝑟𝑚𝑠

2𝛼𝑛

𝑉𝑓𝑟𝑚𝑠

𝑥100 …… . (6)

A continuación, en la Figura 4. 6 se muestra el espectro de Fourier para la señal de

voltaje del convertidor de cinco niveles:

Figura 4. 6 Espectro de Fourier para señal de salida de la fase A del inversor de cinco niveles

Como se observa en la Figura 4. 6, el primer grupo de los armónicos se presenta una

frecuencia de 12𝑘𝐻𝑧 y de ahí a múltiplos de esa frecuencia. La presencia de

armónicos puede provocar un aumento de las pérdidas debido a la disminución del

factor de potencia, averías y mal funcionamiento.

Page 64: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 52

Al hacer el cálculo de la THD para la forma de onda de un convertidor de tres niveles,

esta resulta de 55%, la cual es mucho mayor que para el convertidor de cinco

niveles. En la Figura 4. 7 se muestra el espectro de Fourier de la señal de salida del

convertidor de tres niveles.

Figura 4. 7 Espectro de Fourier de la salida del convertidor de tres niveles

Se puede ver en la Figura 4. 7 que los armónicos se presentan a una frecuencia menor

en comparación con la Figura 4. 6.

Al incrementar el número de niveles los armónicos aparecen cada vez a frecuencias

más altas y su magnitud es menor. Es decir, entre mayor sea el número de niveles,

se obtiene una menor THD.

4.2.2 Simulación del modulador

De la misma forma que se simuló el convertidor multinivel, se llevó a cabo la

simulación del modulador implementado en el FPGA [41]. La intención era obtener

los patrones de conmutación después de describir en lenguaje VHDL el algoritmo de

control que emplea la técnica PSPWM.

Page 65: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 53

La simulación arrojó la siguiente imagen, donde se aprecian los patrones de

conmutación.

Figura 4. 8 Patrones de conmutación simulados

En la Figura 4. 8 se muestran los patrones de conmutación que resultan de la

simulación del código programado en lenguaje VHDL. Como se observa son doce

señales de control, las señales de abajo representan algunos contadores y la señal

de reloj de referencia.

Page 66: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 54

4.2.3 Resultados experimentales

Antes de probar el generador multipolos acoplado con el convertidor multinivel, fue

necesario comprobar que el modulador proporcionaba correctamente los patrones de

conmutación. A continuación se muestran los patrones obtenidos directamente de los

pines de salida del FPGA.

Figura 4.9

Figura 4. 9 Patrones de conmutación para el convertidor multinivel

Se presentan solamente dos de las señales de conmutación (Figura 4. 9) debido a que

el osciloscopio no cuenta con canales suficientes para mostrar el total de ellas, que

son doce. En simulación los interruptores se conmutan a una frecuencia de 3.5 𝑘𝐻𝑧;

en la implementación se observa que existe una diferencia en cuanto al valor medido

que se muestra en el osciloscopio.

Page 67: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 55

Una vez que las señales de control estuvieron listas, se conectó el sistema para

realizar las pruebas. El protocolo de pruebas consistió en variar la velocidad del

emulador de turbina eólica para validar que el convertidor multinivel responde de

manera correcta ante los incrementos y decrementos del voltaje en las terminales del

generador multipolos.

El emulador de turbina eólica consiste en el motor de CD que aparece en la Figura 4.

10, el cual se encuentra acoplado al eje del rotor del generador multipolos. Por ser un

motor de campos separados, se alimenta con dos fuentes independientes de voltaje,

una de ellas se mantiene con una tensión constante (campo), mientras que la otra

fuente se varía para obtener los cambios en la velocidad deseada.

Figura 4. 10 Emulador de turbina eólica y sus características eléctricas

El emulador de turbina eólica funciona en un intervalo amplio de velocidades. Al

hacerlo funcionar en su velocidad mínima (200 rpm) en la carga se obtiene la señal

de voltaje que se observa en la Figura 4. 11.

Modelo: CD3475

Potencia: 3 4 𝐻𝑝

Voltaje de armadura: 90

Voltaje de campo: 100/50

Corriente de armadura: .6/1.2 Amperes

RPM: 1750

Page 68: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 56

Figura 4. 11 Señal de voltaje en una rama del convertidor multinivel

El valor del voltaje en la Figura 4. 11 es bajo porque la velocidad se encuentra cerca

del límite menor de la velocidad de funcionamiento del emulador; a pesar de eso, el

convertidor multinivel funciona bien, mantiene la señal escalonada de cinco niveles.

Figura 4. 12 Señal de voltaje en la fase A del convertidor multinivel

Al aumentar la velocidad en el emulador de turbina eólica, aumenta visiblemente el

valor del voltaje (Figura 4. 12) en la señal de salida del convertidor multinivel.

Page 69: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 57

.

Figura 4. 13 Señales de dos fases del convertidor multinivel

Hay que recordar que, además de responder a los cambios de velocidad en el

emulador de turbina, el inversor multinivel debe mantener la frecuencia de la señal de

salida. En la Figura 4. 13 se observa que el periodo de la señal es de 16.66 ms, el cual

corresponde a una frecuencia de 60 Hz, lo que nos asegura que el convertidor

mantiene tanto la forma de onda deseada como la frecuencia.

Figura 4. 14 Señal trifásica en la salida del convertidor multinivel

Page 70: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 58

En la Figura 4. 14 se muestran las formas de ondas en el convertidor multinivel

trifásico. De nueva cuenta, al variar la velocidad en el emulador de turbina eólica, el

cambio se refleja en la salida del convertidor al aumentar la amplitud del voltaje. El

conjunto que forma el convertidor multinivel con el generador multipolos, funcionó

favorablemente en todo el intervalo de velocidades que va de 200 𝑅𝑃𝑀 a 1900 𝑅𝑃𝑀,

proporcionado por el emulador de turbina.

Figura 4. 15 Señal de corriente en la carga (verde) y voltajes en la carga

Por ser una carga totalmente resistiva (foco), el voltaje se encuentra en fase con la

corriente (Figura 4. 15). La corriente máxima que se tuvo en el convertidor multinivel

llegó al orden de los 500 mA.

Page 71: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 59

Figura 4. 16 Variación del voltaje y corriente al aumentar el índice de modulación

Variar la velocidad del emulador de turbina eólica aumenta el voltaje en la carga del

inversor multinivel. Sucede lo mismo si se varía el índice de modulación “m”, esto se

puede ver en la Figura 4. 16. Al variar “m” de .70 a .80 se logró aumentar el Vrms en la

carga.

Page 72: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 60

Figura 4. 17 Voltajes en la carga

Los voltajes de línea o fase que se muestran en la Figura 4. 17, se encuentran

desfasados 120°.

Figura 4. 18 Voltaje en la fase a, b y voltaje entre fases ab

Al medir el voltaje entre fases a-b, se obtiene la señal escalonada de nueve niveles

que aparece en la Figura 4. 18.

Page 73: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 61

Figura 4. 19 Voltajes entre fases

Al igual que sucede con los voltajes de línea, los voltajes entre fase se encuentran

desfasados 120° (Figura 4. 19).

Al analizar las señales obtenidas en el dominio de la frecuencia se obtuvieron las

siguientes observaciones:

En todos los análisis del espectro de Fourier para las señales obtenidas en el

convertidor multinivel, se observa un resultado similar al que se muestra en la Figura

4. 20. Cuando se aumenta la velocidad en el emulador de turbina eólica se aumenta

la magnitud de la fundamental, y ligeramente la magnitud de los armónicos que se

encuentran a alta frecuencia. Se observa que las bandas laterales de los armónicos

aparecen a la frecuencia de conmutación de los interruptores que es 3.5 kHz y

después de esto a múltiplos de esa frecuencia. Cuando se modifica el índice de

modulación se modifica también la magnitud de la fundamental.

Page 74: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 62

Al analizar el espectro de Fourier de las señales obtenidas en el osciloscopio se

obtienen los siguientes resultados que se muestran en las Figura 4. 20.

Figura 4. 20 Análisis espectral para la una fase

Page 75: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 4 Resultados

cenidet 63

Del análisis espectral se obtienen las siguientes tablas:

Tabla 4. 1 Relación entre la velocidad del emulador de turbina eólica y la amplitud de la fundamental

Velocidad (RPM)

Amplitud de la fundamental (volts)

Para m = 0.60 Para m = 0.75 400 22.58 37.80

1100 26.64 44.91 1754 30.87 52.14

Tabla 4. 2 Relación entre el voltaje entre fases y la amplitud de la fundamental

Velocidad (RPM)

Amplitud de la fundamental (volts)

Para m = 0.80

400 54.12 1754 61.09

Page 76: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

cenidet 64

Capítulo 5 Conclusiones

En este capítulo se describen las conclusiones a las que se llegó durante el

desarrollo del tema de tesis.

5.1 Conclusiones del trabajo

En este trabajo de tesis se presentó una topología diferente para sistemas de

conversión de energía eólica, en comparación con los ya implementados en cenidet.

La topología consiste en un generador multipolos en cascada con un convertidor

multinivel. Fue necesario diseñar e implementar el convertidor de baja potencia para

verificar las ventajas de esta topología.

Las observaciones del trabajo son:

El emulador de turbina eólica funciona en un intervalo de

200 𝑅𝑃𝑀 𝑎 1800 𝑅𝑃𝑀. En ese rango el generador multipolos alimenta al

convertidor multinivel y proporciona en la salida (carga), una señal de voltaje

con una frecuencia constante de 60Hz. La amplitud del voltaje depende de la

velocidad del emulador de turbina eólica.

La técnica de modulación PSPWM que controla el encendido y apagado de

los interruptores en el convertidor multinivel, envía los armónicos a una

frecuencia mayor que la de conmutación. Además, al incrementar el índice de

modulación o la velocidad del emulador de turbina eólica se obtiene un mayor

voltaje en la carga.

El número de niveles del convertidor está directamente relacionado con la

cantidad de polos en el generador.

Page 77: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Capítulo 5 Conclusiones

cenidet 65

Se obtuvo una maqueta experimental capaz de emular el funcionamiento de un

sistema de conversión de energía eólica de baja potencia, en este caso el

convertidor multinivel funciona dentro de los parámetros de voltajes y corrientes

suministrados por el generador multipolos. La técnica de modulación utilizada en

el convertidor multinivel ayuda a proporcionar un mayor voltaje, al aumentar el

índice de modulación lo que puede ser utilizado en sistemas donde se tengan que

satisfacer una demanda de energía alta. El modulador se implementó con buenos

resultados ya que se pudo controlar de manera adecuada las tres fases del

convertidor multinivel, logrando mantener una señal escalonada de frecuencia

constante a pesar de las variaciones en la velocidad del emulador de turbina

eólica.

5.2 Trabajos futuros

Se enlistan a continuación los trabajos sugeridos para continuar con el trabajo de

tesis.

Agregar un rectificador controlado que tenga la capacidad de mantener constante

el voltaje del bus de CD, para que los voltajes proporcionados a la carga se

mantengan balanceados.

Implementar un algoritmo de control en el FPGA para controlar el encendido y

apagado de los interruptores en el convertidor multinivel que sea capaz de

compensar los ligeros desbalances en el bus de CD, programado en VHDL

Implementar el generador multipolos en una máquina de imanes permanentes,

conectada al convertidor multinivel para comparar los resultados con los

obtenidos en este trabajo.

Realizar el mismo esquema de desarrollo con un inversor que soporte mayor

potencia y que tenga un número de niveles superior. El cual pueda ser conectado

a la red eléctrica.

Page 78: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 66

Referencias

[1] AMDEE, “El viento: en el mundo,” 2010. *Online+. Available: http://amdee.wsiefusion.net/Viento/En_el_Mundo.

[2] SENER, “Energias Renovables para el Desarrollo Sustentable en México,” 2006. *Online+. Available: http://www.sener.gob.mx/webSener/res/PE_y_DT/fe/e_renovables_mexico.pdf.

[3] IIE, “Máquina Eólica Mexicana,” 2008. *Online+. Available: http://www.iie.org.mx/boletin022008/breves03.pdf. [Accessed: 220AD].

[4] C. N. de Energía, “Proyectos Eolicos.” p. 89, 2006.

[5] R. Dominguez Ovando, “Emulador de Turbina Eólica para Banco de Pruebas de Generación Eólo-eléctrica,” CENIDET, 2007.

[6] D. L. González Ojeda, “Convertidor Back-to-Back para el Banco de Pruebas de Conversion Eolo-eléctrica en un Sistema Aislado,” CENIDET, 2008.

[7] H. Xu and J. Li, “FPGA Based Multiplex PWM Generator for Multilevel Converters Applied Wind Power Generator,” IEEE, pp. 1-4, Mar. 2009.

[8] C. H. Ng, M. A. Parker, L. Ran, P. J. Tavner, and J. R. Bumby, “A Multilevel Modular Converter for a Large , Light Weight Wind Turbine Generator,” IEEE TRANSACTIONS ON POWER ELECTRONICS, vol. 23, no. 3, pp. 1062-1074, 2008.

[9] R. C. Portillo et al., “Modeling strategy for back-to-back three-level converters applied to high-power wind turbines,” IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, vol. vol, pp. 53no5pp1483-1491, 2006.

[10] M. Malinowski, S. Member, S. Stynski, W. Kolomyjski, and M. P. Kazmierkowski, “Control of Three-Level PWM Converter Applied to Variable-Speed-Type Turbines,” IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, vol. 56, no. 1, pp. 69-77, 2009.

[11] J. L. Villate, S. Ceballos, E. Robles, and I. Gabiola, “Experimental Validation of Multilevel Converters for Variable Speed Wind Turbines,” EPE, pp. 1-8, 2005.

[12] M. Yin, G. Li, M. Zhou, and C. Zhao, “Modeling of the Wind Turbine with a Permanent Magnet Synchronous Generator for Integration,” 2007 IEEE Power Engineering Society General Meeting, pp. 1-6, Jun. 2007.

Page 79: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 67

[13] G. Azevedo, “Modeling of a Variable Speed Wind Turbine with a Permanent Magnet Synchronous Generator,” IEEE International Symposium on Industrial Electronics, no. ISlE, pp. 734-739, 2009.

[14] I. Erlich, S. Member, J. Kretschmann, F. Koch, and J. Fortmann, “Modeling of Wind Turbines based on Doubly-Fed Induction Generators for Power System Stability Studies,” IEEE, pp. 1-8, 2008.

[15] H. Polinder, F. F. a. Van Der Pijl, G.-J. De Vilder, and P. J. Tavner, “Comparison of Direct-Drive and Geared Generator Concepts for Wind Turbines,” IEEE Transactions on Energy Conversion, vol. 21, no. 3, pp. 725-733, Sep. 2006.

[16] H. Li and Z. Chen, “Design Optimization and Evaluation of Different Wind Generator Systems,” ICEMS, 2008.

[17] L. H. Hansen, P. H. Madsen, F. Blaabjerg, H. C. Christensen, U. Lindhard, and K. Eskildsen, “Generators and power electronics technology for wind turbines,” IECON’01. 27th Annual Conference of the IEEE Industrial Electronics Society (Cat. No.37243), vol. 0, no. C, pp. 2000-2005, 2001.

[18] M. Popescu, M. V. Cistelecan, L. Melcescu, and M. Covrig, “Low Speed Directly Driven Permanent Magnet Synchronous Generators for Wind Energy Applications,” IEEE, pp. 784-788, 2007.

[19] M. Nemec, D. Nedeljkovid, K. Drobnič, and V. Ambrožič, “Direct Current Control of a Multi-Pole Synchronous Machine,” IEEE, pp. 515-519, 2008.

[20] S. G, P. H, B. D. J, and F. J. A, “Review of Energy Conversion System for Large Wind Turbines,” EWEC, 2008.

[21] L. H. Hansen, L. Helle, F. Blaabjerg, E. Ritchie, H. Bindner, and P. Sørensen, “Conceptual survey of Generators and Power Electronics for Wind Turbines,” vol. 1205, no. December, 2001.

[22] A. D. Hansen, “Control strategy of a variable speed wind turbine with multipole permanent magnet synchronous generator,” EWEC, 2007.

[23] C. I. Hubert, Electric Machines: Theory, Operation, Applications, Adjustment and Control, Segunda Ed. Prentice Hall, 2001.

[24] G. Bhag S and H. Huseyin R, Maquinas Eléctricas y Trasnformadores, Tercera Ed. Oxford, 2003, p. 720.

[25] J. F. Mora, Máquinas Eléctricas, Sexta Edic. 2008, p. 808.

Page 80: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 68

[26] L. S. Vargas, C. Rahmann, and R. Palma-behnke, “Análisis Dinámico de la Operación de Parques Eólicos Interconectados al SIC,” pp. 1-7.

[27] E. A. Ladrón and M. L. Moran, “Dinámica de Aerogeneradores.” .

[28] L. Franquelo, J. Rodriguez, J. Leon, S. Kouro, R. Portillo, and M. Prats, “The age of multilevel converters arrives,” IEEE Industrial Electronics Magazine, vol. 2, no. 2, pp. 28-39, Jun. 2008.

[29] E. Bárcenas Bárcenas, “Análisis y desarrollo de un inversor multinivel,” Centro Nacional de Investigación y Desarrollo Tecnológico, 2002.

[30] M. Glinka and R. Marquardt, “A New AC / AC Multilevel Converter Family,” IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, vol. 52, no. 3, pp. 662-669, 2005.

[31] J. A. García Estrada, “Modulador PWM en FPGA para un inversor multinivel en cascada,” 2009.

[32] C. A. Sanabria Sanchez, “Estrategia PWM implementada en un FPGA para aplicacion en inversores multinivel,” Centro Nacional de Investigación y Desarrollo Tecnológico, 2004.

[33] H. L. Z. Chen, “Overview of different wind generator systems and their comparisons,” IEEE IET Renewable Power Generation, no. 2007, pp. 123-138, 2008.

[34] J. Wang, W. Wang, G. W. Jewel, and D. Howe, “Design optimisation of a miniature multi-pole permanent magnet generator - Electrical Machines and Drives, 1999. Ninth International Conference on (Conf. Publ. No. 468),” Distribution, no. 468, pp. 128-132, 1999.

[35] D. Schulz and E. P. Systems, “State-of-the Art of Wind Turbine Electrical Systems and Grid Interconnection,” Development Dialogue, 2007.

[36] M. A. Reyes and O. Arellano Cardenas, “Electrónica digital.” 2009.

[37] Xilinx, “Spartan-3 FPGA Starter Kit Board,” Byte, vol. 130, pp. 1-64, 2008.

[38] D. L. Perry, VHDL : Programming by Example. .

[39] Xilinx, “X i l i n x ® I S E W e b P A C K TM V H D L T u t o r i a l,” Main, vol. 99163, no. 509, pp. 1-16, 2010.

[40] V. R. Ricardo and B. I. Ignacio, “Laboratorio de Sistemas Digitales Programables II.” .

[41] Xilinx, “ModelSim VHDL Simulation Tutorial,” ReVision, vol. 102, 2000.

[42] Xilinx, “Spartan-3 Generation FPGA User Guide,” ReVision, vol. 331, 2010.

Page 81: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 69

Anexo A

Placa de circuito impreso (PCB) del inversor multinivel monofásico

Figura A. 1 Circuito impreso del inversor de cinco niveles

La Figura A. 1 muestra el PCB de convertidor.

Page 82: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 70

La Figura A. 2 muestra la ubicación de los componentes en la placa de circuito impreso.

Figura A. 2 Vista superior del inversor multinivel

Page 83: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 71

Anexo B

Esquema de simulación del inversor multinivel trifásico.

Figura B. 1 Esquema de simulación del inversor multinivel trifásico

Page 84: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 72

Anexo C

Código de programación en VHDL

Programa principal: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.sine_package.all; use work.sine_package2.all; use work.sine_package3.all; use work.sine_package4.all; entity frec_div is PORT (wave_out, wave_out2, wave_out3, wave_out4: out std_logic; CLK,EN : IN BIT; CUENTA, cuenta2, cuenta3, cuenta4: OUT BIT); END frec_div; architecture behavioral of frec_div is signal table_index: table_index_type; signal table_index2: table_index2_type; signal table_index3: table_index3_type; signal table_index4: table_index4_type; SIGNAL VALOR : natural RANGE 0 TO 1000; SIGNAL VALOR2 : natural RANGE 0 TO 1000; SIGNAL VALOR3 : natural RANGE 0 TO 1000; SIGNAL VALOR4 : natural RANGE 0 TO 1000; BEGIN -- PWM 1 PROCESS (CLK,EN) variable table_value2: table_value2_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (EN='1') THEN IF VALOR = 817 THEN CUENTA <= '1'; VALOR <= 0;

Page 85: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 73

table_value2 := get_table_value2( table_index2 ); wave_out2 <= (table_value2); if table_index2 = 1020 then table_index2<= 0; else table_index2 <= table_index2 +1; end if; ELSE VALOR <= VALOR +1 ; CUENTA <= '0'; END IF; END IF; END IF; END PROCESS; --PWM 2 PROCESS (CLK,EN) variable table_value: table_value_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (EN='1') THEN IF VALOR2 = 817 THEN CUENTA2 <= '1'; VALOR2 <= 0; table_value := get_table_value( table_index ); wave_out <= (table_value); if table_index = 1020 then table_index<= 0; else table_index <= table_index +1; end if; ELSE VALOR2 <= VALOR2 +1 ; CUENTA2 <= '0'; END IF; END IF; END IF; END PROCESS; --PWM 3 PROCESS (CLK,EN) variable table_value3: table_value3_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN

Page 86: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 74

IF (EN='1') THEN IF VALOR3 = 817 THEN CUENTA3 <= '1'; VALOR3 <= 0; table_value3 := get_table_value3( table_index3 ); wave_out3 <= not(table_value3);--agregué el "not" if table_index3 = 1020 then table_index3<= 0; else table_index3 <= table_index3 +1; end if; ELSE VALOR3 <= VALOR3 +1 ; CUENTA3 <= '0'; END IF; END IF; END IF; END PROCESS; --PWM 4 PROCESS (CLK,EN) variable table_value4: table_value4_type; BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (EN='1') THEN IF VALOR4 = 817 THEN CUENTA4 <= '1'; VALOR4 <= 0; table_value4 := get_table_value4( table_index4 ); wave_out4 <= not(table_value4);--agregué el "not" if table_index4 = 1020 then table_index4<= 0; else table_index4 <= table_index4 +1; end if; ELSE VALOR4 <= VALOR4 +1 ; CUENTA4 <= '0'; END IF; END IF; END IF; END PROCESS; end behavioral;

Page 87: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 75

Código del paquete de datos usado:

library ieee; use ieee.std_logic_1164.all; package sine_package is constant max_table_value: integer := 1020; subtype table_value_type is std_logic; constant max_table_index: integer := 1020; subtype table_index_type is integer range 0 to max_table_index; subtype sine_vector_type is bit; function get_table_value (table_index: table_index_type) return table_value_type; end; package body sine_package is function get_table_value (table_index: table_index_type) return table_value_type is variable table_value: table_value_type; begin case table_index is when 0 => table_value:= '0' ; when 1 => table_value:= '0' ; when 2 => table_value:= '0' ; when 3 => table_value:= '0' ; when 4 => table_value:= '0' ; when 5 => table_value:= '0' ; when 6 => table_value:= '0' ; when 7 => table_value:= '0' ; when 8 => table_value:= '0' ; when 9 => table_value:= '0' ; when 10 => table_value:= '0' ; when 11 => table_value:= '0' ; when 12 => table_value:= '1' ; when 13 => table_value:= '1' ; . . . When n => table_value := „x‟ ; end case; return table_value; end; end;

Page 88: TESIS DE MAESTRÍA EN CIENCIAS - cenidet.edu.mx Armando... · Al Dr. Carlos Manuel Astorga Zaragoza por todo el apoyo brindado para la conclusión de este ... Figura 2 . 8 Comparativa

Anexos

cenidet 76

Anexo D

Tabla D. 1 Configuración de los puertos de salida

Fase A Fase B Fase C

Número de pin del

FPGA

Nombre Número de pin del

FPGA

Nombre Número de pin del

FPGA

Nombre

5 N7 29 H4 18 K5

7 T8 31 J3 20 P9

9 R6 33 K5 22 M10

11 T5 35 L3 24 G4

La configuración de los puertos se hace por software [42].