Sistemas Digitales I

164
POLILIBRO SISTEMAS DIGITALES I MARIO ALBERTO SESMA MARTINEZ MIGUEL ANGEL TORRES DURAN SISTEMAS DIGITALES I OBJETIVO DE LA ASIGNATURA Al término del curso, el alumno: Comprenderá la importancia de la computadora como generadora de la era de la información para acceder a sus bases teóricas, desde el álgebra booleana y su estructuración con la lógica electrónica, para analizar funcionalmente los circuitos representativos de cómputo UNIDAD 1 INTRODUCCION A LOS SISTEMAS DE CÓMPUTO. OBJETIVOS PARTICULARES DE LA UNIDAD Al término de la unidad, el alumno: - Hará un recuento histórico de las etapas económicas y sus relaciones con el manejo de información. - Reflexionará sobre los cambios de las eras agrícolas, industrial y de información, y de los dinámicos y acelerados cambios que influyen en la sociedad. 1.1 Historia de las computadoras Por siglos los hombres han tratado de usar fuerzas y artefactos de diferente tipo para realizar sus trabajos, para hacerlos mas simples y rápidos. La historia conocida de los artefactos que calculan o computan, se remonta a muchos años antes de Jesucristo.

Transcript of Sistemas Digitales I

Page 1: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

SISTEMAS DIGITALES I

OBJETIVO DE LA ASIGNATURA

Al término del curso, el alumno:

Comprenderá la importancia de la computadora como generadora de la era de la información para acceder a sus bases teóricas, desde el álgebra booleana y su estructuración con la lógica electrónica, para analizar funcionalmente los circuitos representativos de cómputo

UNIDAD 1 INTRODUCCION A LOS SISTEMAS DE CÓMPUTO.

OBJETIVOS PARTICULARES DE LA UNIDAD

Al término de la unidad, el alumno:- Hará un recuento histórico de las etapas económicas y sus relaciones con el manejo de información.- Reflexionará sobre los cambios de las eras agrícolas, industrial y de información, y de los dinámicos y acelerados cambios que influyen en la sociedad.

1.1 Historia de las computadoras

Por siglos los hombres han tratado de usar fuerzas y artefactos de diferente tipo para realizar sus trabajos, para hacerlos mas simples y rápidos. La historia conocida de los artefactos que calculan o computan, se remonta a muchos años antes de Jesucristo.

Dos principios han coexistido con la humanidad en este tema. Uno es usar cosas para contar, ya sea los dedos, piedras, semillas, etc. El otro es colocar esos objetos en posiciones determinadas. Estos principios se reunieron en el ábaco, instrumento que sirve hasta el día de hoy, para realizar complejos cálculos aritméticos con enorme rapidez y precisión.

El Ábaco Quizá fue el primer dispositivo mecánico de contabilidad que existió. Se ha calculado que tuvo su origen hace al menos 5.000 años y su efectividad ha soportado la prueba del tiempo.

Page 2: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEsa necesidad de contar, que no es otra cosa que un término más sencillo y antiguo que computar, llevo al hombre a la creación del primer dispositivo mecánico conocido, diseñado por el hombre para ese fin, surgió la primera computadora el ABACO o SOROBAN.

En China y Japón, su construcción era de alambres paralelos que contenían las cuentas encerrados en un marco, mientras en Roma y Grecia consistía en una tabla con surcos grabados.

A medida que fue avanzando la civilización, la sociedad fue tomando una forma más organizada y avanzada, los dispositivos para contar se desarrollaron, probablemente presionados por la necesidad, y en diferentes países fueron apareciendo nuevos e ingeniosos inventos cuyo destino era calcular.

Leonardo da Vinci (1452-1519). Trazó las ideas para una sumadora mecánica, había hecho anotaciones y diagramas sobre una máquina calculadora que mantenía una relación de 10:1 en cada una de sus ruedas registradoras de 13 dígitos.

John Napier (1550-1617). En el Siglo XVII en occidente se encontraba en uso la regla de cálculo, calculadora basada en el invento de Napier, Gunther y Bissaker. John Napier descubre la relación entre series aritméticas y geométricas, creando tablas que él llama logaritmos. Edmund Gunter se encarga de marcar los logaritmos de Napier en líneas. Bissaker por su parte coloca las líneas de Napier y Gunter sobre un pedazo de madera, creando de esta manera la regla de cálculo. Durante más de 200 años, la regla de cálculo es perfeccionada, convirtiéndose en una calculadora de bolsillo, extremadamente versátil. Por el año 1700 las calculadoras numéricas digitales, representadas por el ábaco y las calculadoras análogas representadas por la regla de cálculo, eran de uso común en toda Europa.

Blas Pascal (1623-1662). El honor de ser considerado como el "padre" de la computadora le correspondió al ilustre filósofo y científico francés quien siglo y medio después de Leonardo da Vinci inventó y construyó la primera máquina calculadora automática utilizable, precursora de las modernas computadoras. Entre otras muchas cosas, Pascal desarrolló la teoría de las probabilidades, piedra angular de las matemáticas modernas. La pascalina funciona en base al mismo principio del odómetro (cuenta kilómetros) de los automóviles, que dicho sea de paso, es el mismo principio en que se basan las calculadoras mecánicas antecesoras de las electrónicas, utilizadas no hace tanto tiempo. En un juego de ruedas, en las que cada una contiene los dígitos, cada vez que una rueda completa una vuelta, la rueda siguiente avanza un décimo de vuelta.

Page 3: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANA pesar de que Pascal fue enaltecido por toda Europa debido a sus logros, la Pascalina, resultó un desconsolador fallo financiero, pues para esos momentos, resultaba más costosa que la labor humana para los cálculos aritméticos.

Gottfried W. von Leibnitz (1646-1717). Fué el siguiente en avanzar en el diseño de una máquina calculadora mecánica. Su artefacto se basó en el principio de la suma repetida y fue construida en 1694. Desarrolló una máquina calculadora automática con capacidad superior a la de Pascal, que permitía no solo sumar y restar, sino también multiplicar, dividir y calcular raíces cuadradas. La de Pascal solo sumaba y restaba. Leibnitz mejoro la máquina de Pascal al añadirle un cilindro escalonado cuyo objetivo era representar los dígitos del 1 al 9. Sin embargo, aunque el merito no le correspondía a él (pues se considera oficialmente que se inventaron más tarde), se sabe que antes de decidirse por el cilindro escalonado Leibnitz consideró la utilización de engranajes con dientes retráctiles y otros mecanismos técnicamente muy avanzados para esa época. Se le acredita el haber comenzado el estudio formal de la lógica, la cual es la base de la programación y de la operación de las computadoras.

Joseph-Marie Jackard (1753-1834). El primer evento notable sucedió en el 1801 cuando el francés, Joseph Jackard, desarrolló el telar automático. Jackard tuvo la idea de usar tarjetas perforadas para manejar agujas de tejer, en telares mecánicos. Un conjunto de tarjetas constituían un programa, el cual creaba diseños textiles.

Aunque su propósito no era realizar cálculos, contribuyó grandemente al desarrollo de las computadoras. Por primera vez se controla una máquina con instrucciones codificadas, en tarjetas perforadas, que era fácil de usar y requería poca intervención humana; y por primera vez se utiliza un sistema de tarjetas perforadas para crear el diseño deseado en la tela mientras esta se iba tejiendo. El telar de Jackard opera de la manera siguiente: las tarjetas se perforan estratégicamente y se acomodan en cierta secuencia para indicar un diseño de tejido en particular. Esta máquina fue considerada el primer paso significativo para la automatización binaria.

Charles Babbage (1793-1871). Profesor de matemáticas de la Universidad de Cambridge, Inglaterra, desarrolla en 1823 el concepto de un artefacto, que él denomina "máquina diferencial". La máquina estaba concebida para realizar cálculos, almacenar y seleccionar información, resolver problemas y entregar resultados impresos. Babbage imaginó su máquina compuesta de varias otras, todas trabajando armónicamente en conjunto: los receptores recogiendo información un equipo transfiriéndola; un elemento almacenador de datos y operaciones, y finalmente una impresora entregando resultados. Pese a su increíble concepción, la máquina de Babbage, que se parecía mucho a una computadora, no llegó jamás a construirse. Los planes de Babbage fueron demasiado ambiciosos para su época. Este avanzado concepto, con respecto a

Page 4: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANla simple calculadora, le valió a Babbage ser considerado como el precursor de la computadora.

La novia de Babbage, Ada Augusta Byron, luego Condesa de Lovelace, hija del poeta inglés Lord Byron, que le ayuda en el desarrollo del concepto de la Máquina Diferencial, creando programas para la máquina analítica, es reconocida y respetada, como el primer programador de computadoras. La máquina tendría dos secciones fundamentales: una parte donde se realizarían todas las operaciones y otra donde se almacenaría toda la información necesaria para realizar los cálculos, así como los resultados parciales y finales. El almacén de datos consistiría de mil registradoras con un número de 50 dígitos cada una; estos números podrían utilizarse en los cálculos, los resultados se podrían guardar en el almacén y los números utilizados podrían transferirse a otras ubicaciones.

La máquina controlaría todo el proceso mediante la utilización de tarjetas perforadas similares a las inventadas por Jackard para la creación de diseños de sus telares, y que hasta hace muy poco se utilizaban regularmente. Babbage no pudo lograr su sueño de ver construida la máquina, que había tomado 15 años de su vida entre los dos modelos, pero vio un equipo similar desarrollado por un impresor sueco llamado George Scheutz, basado en su máquina diferencial.

Babbage colaboró con Scheutz en la fabricación de su máquina e inclusive influyó todo lo que pudo, para que esta ganara la Medalla de Oro Francesa en 1855.

George Boole Trabajo sobre las bases sentadas por Leibnitz, quien preconizó que todas las verdades de la razón se conducían a un tipo de cálculo, para desarrollar en 1854, a la edad de 39 años, su teoría que redujo la lógica a un tipo de álgebra extremadamente simple. Esta teoría de la lógica construyó la base del desarrollo de los circuitos de conmutación tan importantes en telefonía y en el diseño de las computadoras electrónicas.

En su carrera como matemático, Boole tiene a su crédito también haber descubierto algo que se considera que fue indispensable para el desarrollo de la teoría de la relatividad de Einstein: las magnitudes constantes. Los descubrimientos matemáticos de George Boole, que llevaron al desarrollo del sistema numérico binario (0 y 1) constituyeron un hito incuestionable a lo largo del camino hacia las modernas computadoras electrónicas. Pero además de la lógica, el álgebra de Boole tiene otras aplicaciones igualmente importantes, entre ellas la de ser el álgebra adecuada para trabajar con la teoría combinatoria de la operación de unión e intersección. También, siempre en este campo, al

Page 5: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANconsiderar la idea del número de elementos de un conjunto, el álgebra de Boole constituye la base de la Teoría de las Probabilidades.

Claude Elwood Shanon A él se debe el haber podido aplicar a la electrónica - y por extensión a las computadoras - los conceptos de la teoría de Boole. Shanon hizo sus planteamientos en 1937 en su tesis de grado para la Maestría en Ingeniería Eléctrica.

En su tesis, Shanon sostenía que los valores de verdadero y falso planteados en el álgebra lógica de Boole, se correspondían con los estados 'abierto' y 'cerrado' de los circuitos eléctricos. Además, Shanon definió la unidad de información, et bit, lo que consecuentemente constituyó la base para la utilización del sistema binario de las computadoras en lugar del sistema decimal.

William Burroughs Nació el 28 de enero de 1857. La monotonía del trabajo y la gran precisión que se necesitaba en los resultados de los cálculos fue lo que decidió a William Burroughs a intentar construir una máquina calculadora precisa y rápida. Sus primeros pasos en este sentido los dio en 1882, pero no fue hasta casi veinte años después que su esfuerzo se vio coronado por el éxito.

Las primeras máquinas compradas por los comerciantes tuvieron que recogerse rápidamente, puesto que todas, presentaban defectos en el funcionamiento. Este nuevo fracaso fue el paso final antes de perfeccionar definitivamente su modelo al cual llamó Maquina de sumar y hacer listas.

A pesar de otro sin número de dificultades en promoción y mercado de su nueva máquina, poco a poco este modelo se fue imponiendo, de modo que luego de dos años ya se vendían a razón de unas 700 unidades por año. William Burroughs, fue el primer genio norteamericano que contribuyó grandemente al desarrollo de la computadora

Herman Hollerith Las tarjetas perforadas. Uno de los hitos más importantes en el proceso paulatino del desarrollo de una máquina que pudiera realizar complejos cálculos en forma rápida, que luego llevaría a lo que es hoy la moderna computadora, lo constituyó la introducción de tarjetas perforadas como elemento de tabulación. Este histórico avance se debe a la inventiva de un ingeniero norteamericano de ascendencia alemán: Herman Hollerith. La idea de utilizar tarjetas perforadas realmente no fue de Hollerith, sino de John Shaw Billings, su superior en el Buró del Censo, pero fue Hollerith quien logró poner en práctica la idea que revolucionaría para siempre el cálculo mecanizado. El diseñó un sistema mediante el cual las tarjetas eran perforadas para representar la información del censo. Las tarjetas eran insertadas en la máquina tabuladora y ésta calculaba la información recibida. Hollerith no tomó la idea de las tarjetas perforadas del invento de Jackard, sino de la "fotografía de perforación" Algunas líneas ferroviarias de la época expedían boletos con descripciones físicas del

Page 6: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANpasajero; los conductores hacían orificios en los boletos que describían el color de cabello, de ojos y la forma de nariz del pasajero. Eso le dio a Hollerith la idea para hacer la fotografía perforada de cada persona que se iba a tabular. Hollertih fundó la Tabulating Machine Company y vendió sus productos en todo el mundo. La demanda de sus máquinas se extendió incluso hasta Rusia. El primer censo llevado a cabo en Rusia en 1897, se registró con el Tabulador de Hollerith. En 1911, la Tabulating Machine Company, al unirse con otras Compañías, formó la Computing-Tabulating-Recording-Company.

Konrad Zuse Nació en Berlín, Alemania, en 1910. EN 1938, Zuse ya había desarrollado una notación binaria que aplicó a los circuitos de rieles electromagnéticos que utilizaría más tarde en su serie de computadoras. El primer modelo construido por Konrad Zuse en 1939, fabricado por completo en la sala de su casa sin ayuda por parte de ninguna agencia gubernamental o privada, era un equipo completamente mecánico. Este modelo fue bautizado con el nombre de V-1 (V por Versuchmodel o Modelo Experimental). La intención principal de Zuse al tratar de desarrollar estos equipos era proporcionar una herramienta a los científicos y técnicos para resolver la gran cantidad de problemas matemáticos involucrados en todas las ramas científicas y técnicas.

Alfred Teichmann, uno de los principales científicos que prestaba servicios en el Instituto Alemán de Investigaciones Aéreas, tuvo conocimiento de los trabajos de Zuse con respecto a las computadoras en una visita que hizo a la casa de éste. Allí vio por primera vez el modelo V-2 y quedó inmediatamente convencido de que máquinas como esa eran las que se necesitaban para resolver algunos de los problemas más graves que se estaban presentado en el diseño de los aviones.

Con la ayuda de Teichmann, Zuse logró conseguir fondos que le permitieron continuar con sus investigaciones un poco más holgadamente, aunque siempre en la sala de su casa, y así surgió, con la colaboración activa de Schreyer, la V-3, la primera computadora digital controlada por programas y completamente operacional. Este modelo constaba con 1.400 rieles electromagnéticos en la memoria, 600 para el control de las operaciones aritméticas y 600 para otros propósitos.

Durante la Segunda Guerra Mundial Wernher von Braun, eminente científico alemán, desarrolló un tipo de bombas cohete denominadas V-1 y V-2, muy celebres sobre todo por el papel que jugaron en los ataques alemanes contra el puerto de Amberes (Bélgica) y Londres (Inglaterra). Para evitar confusión con estas bombas, Zuse determinó cambiar la denominación de sus computadoras que, en adelante, pasaron a conocerse como Z-1, Z-2, Z-3, etc.

Page 7: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEl modelo Z-3 desarrollado a finales de 1941 como una computadora de propósito general, fue parcialmente modificada por Zuse con el objetivo de apoyar el esfuerzo bélico alemán. La nueva versión se denominó Z-4 y se utilizó como elemento de teledirección de una bomba volante desarrollada por la compañía Henschel Aircraft Co., para la Luftwaffe. (Zuse niega que la Z-4 haya sido diseñada para este propósito).

En 1944, mientras Zuse trabajaba en la terminación de la Z-4, se enteró de la presentación en Estados Unidos de la Mark I de Aiken, la primera computadora digital programable norteamericana.

En 1947, la Z-4 tenía una capacidad de 16 palabras en la memoria, en 1949 la capacidad había aumentado hasta 64 palabras y en la década de los 50, la memoria de la Z-4 podía contener 1024 palabras de 32 bits. Además podía multiplicar en un segundo y extraer raiz cuadrada en 5 segundos.

Además de sus trabajos en la computadora, Konrad Zuse desarrolló un idioma prototipo al cual llamó Plankalkul, en el cual anticipó y resolvió varios de los problemas que se abarcan hoy en el contexto de la teoría de los algoritmos, programación estructurada y estructura de la programación de idiomas para computadoras. Poco después de terminada la guerra, ya establecido en suelo suizo, Konrad Zuse estableció su propia compañía a la que denomino Zuse KG.. Hoy se reconoce a Konrad Zuse como el creador de la primera computadora digital programable completamente operacional.

Atanasoff Y Berry Una antigua patente de un dispositivo que mucha gente creyó que era la primera computadora digital electrónica, se invalidó en 1973 por orden de un tribunal federal, y oficialmente se le dio el crédito a John V. Atanasoff como el inventor de la computadora digital electrónica. El Dr. Atanasoff, catedrático de la Universidad Estatal de Iowa, desarrolló la primera computadora digital electrónica entre los años de 1937 a 1942. Llamó a su invento la computadora Atanasoff-Berry, ó solo ABC (Atanasoff Berry Computer).

En el edificio de Física de la Universidad de Iowa aparece una placa con la siguiente leyenda: "La primera computadora digital electrónica de operación automática del mundo, fue construida en este edificio en 1939 por John Vincent Atanasoff, matemático y físico de la Facultad de la Universidad, quien concibió la idea, y por Clifford Edward Berry, estudiante graduado de física."

MARK I (1944) Marca la fecha del la primera computadora, que se pone en funcionamiento. Es el Dr. Howard Aiken en la Universidad de Harvard, Estados Unidos, quien la presenta con el nombre de Mark I. Es esta la primera máquina procesadora de información. La Mark I funcionaba eléctricamente, las

Page 8: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANinstrucciones e información se introducen en ella por medio de tarjetas perforadas. Los componentes trabajan basados en principios electromecánicos.

Este impresionante equipo medía 16 mts. de largo y 2,5 mts. de alto, contenía un aproximado de 800.000 piezas y más de 800 Km. de cablerío eléctrico, pero los resultados obtenidos eran igualmente impresionantes para la época. Mark I tenía la capacidad de manejar números de hasta 23 dígitos, realizando sumas en menos de medio segundo, multiplicaciones en tres segundos y operaciones logarítmicas en poco más de un minuto. Ahora sí se había hecho por fin realidad el sueño de Pascal, Leibnitz, Babbage, Hollerith y muchos otros: la computadora era una realidad.

A pesar de su peso superior a 5 toneladas y su lentitud comparada con los equipos actuales, fue la primera máquina en poseer todas las características de una verdadera computadora.

ENIAC (1946) La primera computadora electrónica fue terminada de construir en 1946, por J.P.Eckert y J.W.Mauchly en la Universidad de Pensilvania, U.S.A. y se le llamó ENIAC (Electronic Numerical Integrator And Computer), ó Integrador numérico y calculador electrónico. La ENIAC construida para aplicaciones de la Segunda Guerra mundial, se terminó en 30 meses por un equipo de científicos que trabajaban bajo reloj. La ENIAC, mil veces más veloz que sus predecesoras electromecánicas, irrumpió como un importante descubrimiento en la tecnología de la computación. Pesaba 30 toneladas y ocupaba un espacio de 450 mts cuadrados, llenaba un cuarto de 6 mts x 12 mts y contenía 18.000 bulbos, tenía que programarse manualmente conectándola a 3 tableros que contenían más de 6000 interruptores. Ingresar un nuevo programa era un proceso muy tedioso que requería días o incluso semanas. A diferencia de las computadoras actuales que operan con un sistema binario (0,1) la ENIAC operaba con uno decimal (0, 1,2...9) La ENIAC requería una gran cantidad de electricidad. La ENIAC poseía una capacidad, rapidez y flexibilidad muy superiores a la Mark I. Comenzaba entonces la tenaz competencia en la naciente industria, IBM desarrolló en 1948 su computadora SSEC (Calculadora Electrónica de Secuencia Selectiva) superior a la ENIAC.

Para 1951, la compañía Remington Rand, otra de las líderes en este campo, presento al mercado su modelo denominado Univac, que ganó el contrato para el censo de 1951 por su gran capacidad, netamente superior a todas las demás desarrolladas hasta el momento.

Pero para la recia personalidad de Thomas J. Watson, se le hacia difícil aceptar que su compañía no fuera la principal en este campo, así que en respuesta al desarrollo de la Univac, hizo que IBM construyera su modelo 701, una computadora científica con una capacidad superior 25 veces a la SSEC y muy superior también a la Univac.

Page 9: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANA la 701 siguieron otros modelos cada vez más perfeccionados en cuanto a rapidez, precisión y capacidad, los cuales colocaron a IBM como el líder indiscutible de la naciente industria de las computadoras. Aunque en la actualidad es difícil mencionar a una firma determinada como la primera en este campo, es un hecho irrefutable que IBM continua siendo una de las principales compañías en cuanto a desarrollo de computadoras se refiere.

Con ella se inicia una nueva era, en la cual la computadora pasa a ser el centro del desarrollo tecnológico, y de una profunda modificación en el comportamiento de las sociedades.

EDVAC (1947) (Eletronic Discrete-Variable Automatic Computer, es decir computadora automática electrónica de variable discreta) Desarrollada por Dr. John W. Mauchly, John Presper Eckert Jr. y John Von Neumann. Primera computadora en utilizar el concepto de almacenar información. Podía almacenar datos e instrucciones usando un código especial llamado notación binaria. Los programas almacenados dieron a las computadoras una flexibilidad y confiabilidad tremendas, haciéndolas más rápidas y menos sujetas a errores que los programas mecánicos. Una computadora con capacidad de programa almacenado podría ser utilizada para varias aplicaciones cargando y ejecutando el programa apropiado. Hasta este punto, los programas y datos podían ser ingresados en la computadora sólo con la notación binaria, que es el único código que las computadoras "entienden". El siguiente desarrollo importante en el diseño de las computadoras fueron los programas intérpretes, que permitían a las personas comunicarse con las computadoras utilizando medios distintos a los números binarios. En 1952 Grace Murray Hoper una oficial de la Marina de EE.UU., desarrolló el primer compilador, un programa que puede traducir enunciados parecidos al inglés en un código binario comprensible para la maquina llamado COBOL (COmmon Business-Oriented Languaje).

EDSAC (1949) Desarrollada por Maurice Wilkes. Primera computadora capaz de almacenar programas electrónicamente.

LA ACE PILOT (1950) Turing tuvo listos en 1946 todos los planos de lo que posteriormente seria conocido como ACE Pilot (Automatic Calculating Engine) que fue presentado públicamente en 1950. La ACE Pilot estuvo considerada por mucho tiempo como la computadora más avanzada del mundo, pudiendo realizar operaciones tales como suma y multiplicación en cuestión de microsegundos.

UNIVAC I (1951) Desarrollada por Mauchly y Eckert para la Remington-Rand Corporation. Primera computadora comercial utilizada en las oficinas del censo de los Estados Unidos. Esta máquina se encuentra actualmente en el "Smithsonian Institute". En 1952 fue utilizada para predecir la victoria de Dwight D. Eisenhower en las elecciones presidenciales de los Estados

Page 10: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

1.2 Generaciones de las computadoras

Después de esta breve historia de las computadoras seria conveniente conocer acerca de:

GENERACIÓNES DE LOS SISTEMAS OPERATIVOS

Los sistemas operativos, al igual que el hardware de las computadoras, han sufrido una serie de cambios revolucionarios llamados generaciones. En el caso del hardware, las generaciones han sido enmarcadas por grandes avances en los componentes utilizados, pasando de válvulas (primera generación), a transistores (segunda generación), a circuitos integrados (tercera generación), a circuitos integrado de gran y muy gran escala (cuarta generación). Cada generación sucesiva de hardware ha sido acompañada de reducciones substanciales en los costos, tamaño, emisión de calor y consumo de energía, y por incrementos notables en velocidad y capacidad.

Generación Cero (Década de 1940)

Los sistemas operativos han ido evolucionando durante los últimos 40 años a través de un número de distintas fases o generaciones que corresponden a décadas. En 1940, las computadoras electrónicas digitales más nuevas no tenían sistema operativo. Las Máquinas de ese tiempo eran tan primitivas que los programas por lo regular manejaban un bit a la vez en columnas de switch's mecánicos. Eventualmente los programas de lenguaje de máquina manejaban tarjetas perforadas, y lenguajes ensamblador fueron desarrollados para agilizar el proceso de programación. Los usuarios tenían completo acceso al lenguaje de la maquina.

Todas las instrucciones eran codificadas a mano.

Primera Generación (Década de 1950)

Los sistemas operativos de los años cincuenta fueron diseñados para hacer más fluída la transmisión entre trabajos. Antes de que los sistemas fueran diseñados, se perdía un tiempo considerable entre la terminación de un trabajo y el inicio del siguiente. Este fue el comienzo de los sistemas de procesamiento por lotes, donde los trabajos se reunían por grupo o lotes. Cuando el trabajo estaba en ejecución, este tenía control total de la máquina. Al terminar cada trabajo, el control era devuelto al sistema operativo, el cual "limpiaba" y leía e inicia el trabajo siguiente.

Page 11: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANAl inicio de los años 50 esto había mejorado un poco con la introducción de tarjetas perforadas (las cuales servían para introducir los programas de lenguajes de máquina), puesto que ya no había necesidad de utilizar los tableros enchufables. Esto se conoce como sistemas de procesamiento por lotes de un sólo flujo, ya que los programas y los datos eran sometidos en grupos o lotes. El laboratorio de investigación General Motors implementó el primer sistema operativo para la IBM 701.

La introducción del transistor a mediados de los años 50 cambió la imagen radicalmente. Se crearon máquinas suficientemente confiables las cuales se instalaban en lugares especialmente acondicionados, aunque sólo las grandes universidades y las grandes corporaciones o bien las oficinas del gobierno se podían dar el lujo de tenerlas.

Para poder correr un trabajo (programa), tenían que escribirlo en papel (en Fortran o en lenguaje ensamblador) y después se perforaría en tarjetas. Enseguida se llevaría la pila de tarjetas al cuarto de introducción al sistema y la entregaría a uno de los operadores. Cuando la computadora terminaba el trabajo, un operador se dirigiría a la impresora y desprendía la salida y la llevaba al cuarto de salida, para que la recogiera el programador.

Segunda Generación (A mitad de la década de 1960)

La característica de la segunda generación de los sistemas operativos fue el desarrollo de los sistemas compartidos con multiprogramación, y los principios del multiprocesamiento. En los sistemas de multiprogramación, varios programas de usuarios se encuentran al mismo tiempo en el almacenamiento principal, y el procesador se cambia rápidamente de un trabajo a otro. En los sistemas de multiprocesamiento se utilizan varios procesadores en un solo sistema computacional, con la finalidad de incrementar el poder de procesamiento de la máquina. La independencia de dispositivos aparece después. Un usuario que deseara escribir datos en una cinta en sistemas de la primera generación tenia que hacer referencia específica a una unidad en particular. En los sistemas de la segunda generación, el programa del usuario especificaba tan solo que un archivo iba a ser escrito en una unidad de cinta con cierto número de pistas y cierta densidad. El sistema operativo localizaba, entonces, una unidad de cinta disponible con las características deseadas, y le indicaba al operador que montara la cinta en esa unidad.

El surgimiento de un nuevo campo: LA INGENIERÍA DEL SOFTWARE.

Los sistemas operativos desarrollados durante los años 60 tuvieron una enorme conglomeración de software escrito por gente que no entendía el software, también como el hardware, tenía que ser ingeniero para ser digno de confianza, entendible y mantenible.

Page 12: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANSe desarrollaron sistemas compartidos, en la que los usuarios podían acoplarse directamente con el computador a través de terminales. Surgieron sistemas de tiempo real, en que los computadores fueron utilizados en el control de procesos industriales.

Tercera Generación (1964 a mitad de la década de 1970)

Se inicia en 1964, con la introducción de la familia de computadores Sistema/360 de IBM. Los computadores de esta generación fueron diseñados como sistemas para usos generales. Casi siempre eran sistemas grandes, voluminosos. Eran sistemas de modos múltiples, algunos de ellos soportaban simultáneamente procesos por lotes, tiempo compartido, procesamiento de tiempo real y multiprocesamiento. Eran grandes y costosos, nunca antes se había construido algo similar, y muchos de los esfuerzos de desarrollo terminaron muy por arriba del presupuesto y mucho después de lo que el planificador marcaba como fecha de terminación.

Estos sistemas introdujeron mayor complejidad a los ambientes computacionales; una complejidad a la cual, en un principio, no estaban acostumbrados los usuarios.

Sistemas de Tiempo Compartido

El CPU se comparte entre varios trabajos que se encuentran residentes en memoria y en el disco (el CPU se asigna a un trabajo solo si éste esta en memoria).

Un trabajo es enviado dentro y fuera del la memoria hacia el disco.

Existe comunicación en-línea entre el usuario y el sistema; cuando el sistema operativo finaliza la ejecución de un comando, busca el siguiente "estatuto de control" no de una tarjeta perforada, sino del teclado del operador.

Existe un sistema de archivos en línea el cual está disponible para los datos y código de los usuarios

Cuarta Generación (Mitad de la década de 1970 a nuestros días)

Los sistemas de la cuarta generación constituyen el estado actual de la tecnología.

. Con la ampliación del uso de redes de computadores y del procesamiento en línea los usuarios obtienen acceso a computadores alejados geográficamente a

Page 13: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANtravés de varios tipos de terminales. El microprocesador ha hecho posible la aparición de la computadora personal, uno de los desarrollos de notables consecuencias sociales más importantes de las últimas décadas

. La potencia del computador, que costaba varios cientos de miles de dólares al principio de la década de 1960, hoy es mucho más accesible. El porcentaje de la población que tiene acceso a un computador en el Siglo XXI es mucho mayor. El usuario puede tener su propia computadora para realizar parte de su trabajo, y utilizar facilidades de comunicación para transmitir datos entre sistemas. La aplicación de paquetes de software tales como procesadores de palabras, paquetes de bases de datos y paquetes de gráficos ayudaron a la evolución de la computadora personal. La llave era transferir información entre computadoras en redes de trabajo. El correo electrónico, transferencia de archivos, y aplicaciones de acceso a bases de datos proliferaron. El modelo cliente-servidor fue esparcido. El campo de ingeniería del software continuó evolucionando con una mayor confianza proveniente de los EE.UU. Los ambientes del usuario, altamente simbólicos, y orientados hacia las siglas de las décadas de los sesenta y setenta, fueron reemplazados, en la década de los ochenta, por los sistemas controlados por menú, los cuales guían al usuario a lo largo de varias opciones expresadas en un lenguaje sencillo.

GENERACIONES DE LAS COMPUTADORAS

Primera Generación (1951-1958)

Las computadoras de la primera Generación emplearon bulbos para procesar información. Los operadores ingresaban los datos y programas en código especial por medio de tarjetas perforadas. El almacenamiento interno se lograba con un tambor que giraba rápidamente, sobre el cual un dispositivo de lectura/escritura colocaba marcas magnéticas. Esas computadoras de bulbos eran mucho más grandes y generaban más calor que los modelos contemporáneos. El voltaje de los tubos era de 300v y la posibilidad de fundirse era grande. Eckert y Mauchly contribuyeron al desarrollo de computadoras de la 1era Generación formando una Cia. privada y construyendo UNIVAC I, que el Comité del censo utilizó para evaluar el de 1950. La programación en lenguaje máquina, consistía en largas cadenas de bits, de ceros y unos, por lo que la programación resultaba larga y compleja

Usaban tubos al vacío para procesar información. Usaban tarjetas perforadas para entrar los datos y los programas.

Page 14: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Usaban cilindros magnéticos para almacenar información e instrucciones internas

En 1953 se comenzó a construir computadoras electrónicas y su primera entrada fue con la IBM 701.

Después de un lento comienzo la IBM 701 se convirtió en un producto comercialmente viable. Sin embargo en 1954 fue introducido el modelo IBM 650, el cual es la razón por la que IBM disfruta hoy de una gran parte del mercado de las computadoras. Aunque caras y de uso limitado las computadoras fueron aceptadas rápidamente por las Compañías privadas y de Gobierno. A la mitad de los años 50 IBM y Remington Rand se consolidaban como líderes en la fabricación de computadoras.

Segunda Generación (1959-1964)

El invento del transistor hizo posible una nueva generación de computadoras, más rápidas, más pequeñas y con menores necesidades de ventilación. Sin embargo el costo seguía siendo una porción significativa del presupuesto de una Compañía. Las computadoras de la segunda generación utilizaban redes de núcleos magnéticos en lugar de tambores giratorios para el almacenamiento primario. Estos núcleos contenían pequeños anillos de material magnético, enlazados entre sí, en los cuales podían almacenarse datos e instrucciones. Los programas de computadoras también mejoraron. El COBOL desarrollado durante la 1era generación estaba ya disponible comercialmente. Los programas escritos para una computadora podían transferirse a otra con un mínimo esfuerzo. El escribir un programa ya no requería entender plenamente el hardware de la computadora. Las computadoras de la 2da Generación eran substancialmente más pequeñas y rápidas que las de bulbos, y se usaban para nuevas aplicaciones, como en los sistemas para reservación en líneas aéreas, control de tráfico aéreo y simulaciones para uso general. Las empresas comenzaron a utilizar las computadoras en tareas de almacenamiento de registros, como manejo de inventarios, nómina y contabilidad, la velocidad de las operaciones ya no se mide en segundos sino en microsegundos (ms). Memoria interna de núcleos de ferrita.

Instrumentos de almacenamiento: cintas y discos.

Mejoran los dispositivos de entrada y salida, para la mejor lectura de tarjetas perforadas, se disponía de células fotoeléctricas.

Introducción de elementos modulares.

La marina de EE.UU. utilizó las computadoras de la Segunda Generación para crear el primer simulador de vuelo (Whirlwind I). HoneyWell se colocó como el

Page 15: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANprimer competidor durante la segunda generación de computadoras. Burroughs, Univac, NCR, CDC, HoneyWell, los más grandes competidores de IBM durante los años 60 se conocieron como el grupo BUNCH

Tercera Generación (1964-1971)

Circuitos integrados (chips)

Las computadoras de la tercera generación emergieron con el desarrollo de los circuitos integrados (pastillas de silicio) en las cuales se colocan miles de componentes electrónicos, en una integración en miniatura. Las computadoras nuevamente se hicieron más pequeñas, más rápidas, desprendían menos calor y eran energéticamente más eficientes.

Multiprogramación

Antes del advenimiento de los circuitos integrados, las computadoras estaban diseñadas para aplicaciones matemáticas o de negocios, pero no para las dos cosas. Los circuitos integrados permitieron a los fabricantes de computadoras incrementar la flexibilidad de los programas, y estandarizar sus modelos. La IBM 360 una de las primeras computadoras comerciales que usó circuitos integrados, podía realizar tanto análisis numéricos como administración ó procesamiento de archivos.

Las computadoras trabajaban a tal velocidad que proporcionaban la capacidad de correr más de un programa de manera simultánea (multiprogramación).

Minicomputadora

Con la introducción del modelo 360 IBM acaparó el 70% del mercado, para evitar competir directamente con IBM la empresa Digital Equipment Corporation (DEC) redirigió sus esfuerzos hacia computadoras pequeñas. Mucho menos costosas de comprar y de operar que las computadoras grandes, las minicomputadoras se desarrollaron durante la segunda generación pero alcanzaron su mayor auge entre 1960 y 1970.

Generalización de lenguajes de programación de alto nivel

Compatibilidad para compartir software entre diversos equipos

Tiempo Compartido: Uso de una computadora por varios clientes a tiempo compartido, pues el aparato puede discernir entre diversos procesos que realiza simultáneamente

Se desarrollaron circuitos integrados para procesar información.

Page 16: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANSe desarrollaron los "chips" para almacenar y procesar la información.

Un "chip" es una pieza de silicio que contiene los componentes electrónicos en miniatura llamados semiconductores..

Cuarta Generación (1971-1982)

El microprocesador: El proceso de reducción del tamaño de los componentes llega a operar a escalas microscópicas. La microminiaturización permite construir el microprocesador, circuito integrado que rige las funciones fundamentales del ordenador.

Las aplicaciones del microprocesador se han proyectado más allá de la computadora y se encuentran en multitud de aparatos, sean instrumentos médicos, automóviles, juguetes, electrodomésticos, el tamaño reducido del microprocesador de chips hizo posible la creación de las computadoras personales. (PC)

Memorias Electrónicas: Se desechan las memorias internas de los núcleos magnéticos de ferrita y se introducen memorias electrónicas, que resultan más rápidas. Al principio presentan el inconveniente de su mayor costo, pero este disminuye con la fabricación en serie.

Sistema de tratamiento de base de datos: El aumento cuantitativo de las bases de datos lleva a crear formas de gestión que faciliten las tareas de consulta y edición. Los sistemas de tratamiento de base de datos consisten en un conjunto de elementos de hardware y software interrelacionados que permiten un uso sencillo y rápido de la información

En 1981, IBM develó su computador personal y, en 1984, Apple su Macintosh. A medida que estas máquinas se hacían más poderosas, se pudieron enlazar en redes, lo cual eventualmente condujo al desarrollo de Internet. Otros de los adelantos que se han desarrollado en esta generación son el uso de interfaces gráficas (Windows y Mac OS), el mouse y aparatos portátiles.

Hoy en día las tecnologías LSI (Integración a gran escala) y VLSI (integración a muy gran escala) permiten que cientos de miles de componentes electrónicos se almacenen en un clip. Usando VLSI, un fabricante puede hacer que una computadora pequeña rivalice con una computadora de la primera generación que ocupara un cuarto completo.

Se minimizan los circuitos, aumenta la capacidad de almacenamiento. Reducen el tiempo de respuesta.

Gran expansión del uso de las Computadoras.

Page 17: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Memorias electrónicas más rápidas.

Sistemas de tratamiento de bases de datos.

Multiproceso.

1.3 Escalamiento: Micro-Mini-Mainframe

Supercomputadoras.

CATEGORÍAS DE LAS COMPUTADORAS

Supercomputadora

La supercomputadora es lo máximo en computadoras, es la más rápida y, por lo tanto, la más cara. Cuesta millones de dólares y se hacen de dos a tres al año. Procesan billones de instrucciones por segundo. Son utilizadas para trabajos científicos, particularmente para crear modelos matemáticos del mundo real, llamados simulación. Algunos ejemplos de uso son: exploración y producción petrolera, análisis estructural, dinámica de fluidos computacional, física, química, diseño electrónico, investigación de energía nuclear, meteorología, diseño de automóviles, efectos especiales de películas, trabajos sofisticados de arte, planes gubernamentales y militares y la fabricación de naves espaciales por computadoras. Ejemplo: Cray 1, Cray 2.

Mainframe

Los "mainframe" son computadoras grandes, ligeras, capaces de utilizar cientos de dispositivos de entrada y salida. Procesan millones de instrucciones por segundo. Su velocidad operacional y capacidad de procesar hacen que los grandes negocios, el gobierno, los bancos, las universidades, los hospitales, compañías de seguros, líneas aéreas, etc. confíen en ellas. Su principal función es procesar grandes cantidades de datos rápidamente. Estos datos están accesibles a los usuarios del "mainframe" o a los usuarios de las microcomputadoras cuyos terminales están conectados al "mainframe". Su costo fluctúa entre varios cientos de miles de dólares hasta el millón. Requieren de un sistema especial para controlar la temperatura y la humedad. También requieren de un personal profesional especializado para procesar los datos y darle el mantenimiento. Ejemplo: IBM 360.

Minicomputadora

Page 18: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANLa minicomputadora se desarrolló en la década de 1960 para llevar a cabo tareas especializadas, tales como el manejo de datos de comunicación. Son más pequeñas, más baratas y más fáciles de mantener e instalar que los "mainframes". Usadas por negocios, colegios y agencias gubernamentales. Su mercado ha ido disminuyendo desde que surgieron las microcomputadoras. Ejemplos: PDP-1, PDP-11, Vax 20, IBM sistema 36.

Microcomputador

La microcomputadora es conocida como computadora personal o PC. Es la más pequeña, gracias a los microprocesadores, más barata y más popular en el mercado. Su costo fluctúa entre varios cientos de dólares hasta varios miles de dólares. Puede funcionar como unidad independiente o estar en red con otras microcomputadoras o como un terminal de un "mainframe" para expandir sus capacidades. Puede ejecutar las mismas operaciones y usar los mismos programas que muchas computadoras superiores, aunque en menor capacidad. Ejemplos: MITS Altair, Macintosh, serie Apple II, IBM PC, Dell, Compaq, Gateway, etc.

Tipos de microcomputadoras:

Desktop: Es otro nombre para la PC que está encima del escritorio.

Portátil: Es la PC que se puede mover con facilidad. Tiene capacidad limitada y la mayoría usa una batería como fuente de poder. Pesan entre 7Kg y 9Kg.

Laptop: La computadora "laptop" tiene una pantalla plana y pesa alrededor de 6 Kg.

Notebook La computadora "notebook" es más pequeña y pesa alrededor de 4Kg o menos..

. Palmtop: Es la computadora del tamaño de una calculadora de mano. Utiliza batería y puede ser conectada a la desktop para transferir datos

1.4 Desarrollo de la industria de cómputo

El desarrollo de la industria de computo es de los sectores industriales más dinámicos conviene enterarse del estado actual y cual es su proyección en el futuro inmediato.

Page 19: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Ejercicio 1.- Se deja a los alumnos hacer una investigación documental con este tema.

UNIDAD 2 FUNDAMENTOS LÓGICOS

OBJETIVOS PARTICULARES DE LA UNIDAD

Al término de la unidad el alumno:- Distinguirá los aspectos teóricos del álgebra booleana, su vinculación con dispositivos eléctricos y las diferencias en representación de datos numéricos concluyendo con ejemplos prácticos de aplicación

2.1 Fundamentos lógicos.

En todas las áreas de las actividades humanas, como son las comunicaciones, electrodomésticos, entretenimiento, telefonía, transportes, medicina, internet, etc. los sistemas digitales se encuentran presentes, en esta unidad se estudiaran los fundamentos lógicos que soportan estos sistemas.

2.1.1 Sistemas numéricos y conversiones. Una característica de los sistemas digitales es su capacidad para manipular elementos discretos de información. Todo conjunto restringido a un número finito de elementos contiene información discreta. Ejemplos de conjuntos discretos son los 10 dígitos decimales, las 28 letras del alfabeto, y las 64 casillas de un tablero de ajedrez.

En casi todos los sistemas digitales actuales, las señales que se manejan emplean sólo dos valores discretos, por los que se les llama Binarios. Un digito binario, se le llama Bit y este solo tiene dos valores: 0 y 1. Existe una correspondencia entre los números binarios que son por así decir, los que “entienden” los sistemas digitales y los números decimales que entendemos los seres humanos.

Los números decimales se expresan en lo que se conoce como Sistema numérico posicional porque los dígitos del 0 al 9 adquieren valor diferente de acuerdo a la posición que ocupan en un numero decimal, por ejemplo el numero N = 5278.36 representa una cantidad igual a 5 millares más 2 centenas más 7 decenas más 8 unidades con 3 décimas y 6 centésimas. Este número también se puede expresar como:

N = 5278.36 = 5 x 103 + 2 x 102 + 7 x 101 +8 x 100 . 3 x10-1 + 6 x 10-2

Page 20: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANNotación en la que se observa lo siguiente: Por convención el número N se representa normalmente por los coeficientes y se deducen las potencias de 10 por la posición que ocupa cada coeficiente. La potencia se enumera a partir del punto decimal de derecha a izquierda empezando con el numero 0 para los números enteros, y para los decimales de izquierda a derecha a partir del punto decimal empezando con el numero -1. Se dice que el sistema decimal es base 10 porque usa 10 dígitos, del 0 al 9, y los coeficientes se multiplican por potencias de 10. Al sistema que tenga dos dígitos, 0 y 1, y sus coeficientes se multipliquen por potencias de 2 se le llama sistema de base 2 o números binarios, al sistema de 8 dígitos, de 0 al 7, y sus coeficientes se multipliquen por potencias de 8 se le llama sistema de base 8 o números octales y así sucesivamente. En la tabla 1 se muestran las equivalencias entre sistemas numéricos de diferente base

TABLA 1. SISTEMAS DE NUMERACION EN DIFERENTES BASES

BASE 10 BASE 2 BASE 8 BASE 160 0 0 01 1 1 12 10 2 23 11 3 34 100 4 45 101 5 56 110 6 67 111 7 78 1000 10 89 1001 11 9

10 1010 12 A11 1011 13 B12 1100 14 C13 1101 15 D14 1110 16 E15 1111 17 F16 10000 20 1017 10001 21 1118 10010 22 1219 10011 23 1320 10100 24 1421 10101 25 1522 10110 26 1623 10111 27 1724 11000 30 1825 11001 31 19

Page 21: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

26 11010 32 1ª27 11011 33 1B28 11100 34 1C29 11101 35 1D30 11110 36 1E31 11111 37 1F32 100000 40 2033 100001 41 2134 100010 42 2235 100011 43 2336 100100 44 2437 100101 45 2538 100110 46 2639 100111 47 2740 101000 50 2841 101001 51 2942 101010 52 2ª43 101011 53 2B44 101100 54 2C45 101101 55 2D46 101110 56 2E47 101111 57 2F48 110000 60 3049 110001 61 3150 110010 62 32

Para distinguir entre números con diferente base, se encierran los coeficientes en paréntesis y se añade un subíndice que indica la base empleada.La conversión entre sistemas de base n a base 10 se efectúa como se muestra en los ejemplos siguientes:

Ejemplo 1 Convertir ( 2403 )7 → ( )10

( 2403 )7 = 2x73 + 4x72 + 0x71 + 3x70 = 2x343 + 4x49 + 0x7 + 3x1 = (885)10

Ejemplo 2 Convertir (01 0011)2→ ( )10

(01 0011)2 = 1x24 + 0x23 + 0x22 +1x21 + 1x20 = 1x16 + 1x2 + 1x1 = (19)10

Ejemplo 3 Convertir el número con decimales ( 63.125 )8 → ( )10

Primero se convierte la parte entera

( 63 )8 = 6x81 + 3x80 = 48 + 3 = (51)10

En seguida la parte decimal

Page 22: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN(0.125) = 1x8-1 + 2x8-2 + 5x8-3 = 1/8 + 1/64 + 1/512 = 0.1250 + .0156 + 0.0019

= 0.1425

Finalmente el numero se forma con la parte entera y la parte decimal

Por tanto ( 63.125)8 = (51.1425)10

Ejemplo 4

Convertir el decimal binario (0100.11)2 → ( )10

1º la parte entera

(100)2 =1x22 +0x21 + 0x20 . 1x2-1 + 1x2-2 = 1x4 + 0x 21+ 0x1 = 410

en seguida la parte decimal

( 0.11) = 1x2-1 + 1x2-2 = ½ + ¼ = 0.500 + 0.250 = 0.750

Finalmente el numero se forma con la parte entera y la parte decimal

Por tanto ( 0100.11)2 = (4.750)10

La conversión de base 10 a base n, se explica fácilmente con los siguientes ejemplos:

Ejemplo 5 Convertir el numero entero 1910 a numero binario

(19)10 → ( )2

Solución

Se divide el entero 19 entre el número de base a la que se quiere convertir, en este ejemplo es entre 2, a fin de obtener entero y residuo, de la siguiente forma:

19/2 = 9 y residuo 1

9/2 = 4 y residuo 14/2 = 2 y residuo 02/2 = 1 y residuo 0½ = 0 y residuo 1

se divide hasta que el entero resultante es 0.

El numero binario se forma tomando los residuos en orden de abajo hacia arriba

por tanto (19)10 → (10011)2

Ejemplo 6 Convertir el numero entero 88510 a numero base 7 (885)10 → ( )7

Solución

Page 23: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Se divide el entero 885 entre el número de base a la que se quiere convertir, en este ejemplo es entre 7, a fin de obtener entero y residuo, de la siguiente forma:

885/7 = 126 y residuo 3 126/7 = 18 y residuo 0 18/7 = 2 y residuo 4 2/7 = 0 y residuo 2

El numero base 7 se forma tomando los residuos en orden de abajo hacia arriba

por lo tanto (885)10 → (2403)7

Ejemplo 7 Convertir el numero decimal 0.53410 a numero base 2 con 8 Bits (0.534)10 → ( )2

Solución

Como se trata de un número decimal, se multiplica por el número de base a la que se quiere convertir, en este ejemplo es por 2, a fin de obtener entero y decimal, de la siguiente forma:

0.534 x 2 = 1 . 068 entero 1 0.068 x 2 = 0.136 entero 0 0.136 x 2 = 0.272 entero 0 0.272 x 2 = 0.544 entero 0 0.544 x 2 = 1.088 entero 1 0.088 x 2 = 0.176 entero 0 0.176 x 2 = 0.352 entero 0 0.352 x2 = 0.704 entero 0 0.704 x 2 = 1.408 entero 1

Se termina de multiplicar en dos casos, cuando se obtenga decimal 0.0000 ó cuando se tenga el numero de bits deseado. En este ejemplo a 8 bits.

El numero base 2 o binario se forma tomando los enteros en orden de arriba hacia abajo

por tanto (0.534)10 → (1000 1000 )2 con 8 bits

Page 24: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Ejemplo 8 Convertir ( 13.1250)10 → ( )2 con 8 bits en la parte decimal.

(13)10 → ( )2

Parte entera

13/2 = 6 y residuo 1

6/2 = 3 y residuo 03/2 = 1 y residuo 1½ = 0 y residuo 1

por tanto (13)10 → ( 1101 )2

Parte decimal ( 0.1250)2 → ( )2

0.125 x 2 = 0.250 entero 00.250 x 2 = 0.500 entero 0 0.500 x 2 = 1.000 entero 10.000 x 2 = 0.000 entero 0

0.000 x 2 = 0.000 entero 00.000 x 2 = 0.000 entero 00.000 x 2 = 0.000 entero 00.000 x 2 = 0.000 entero 0

por tanto ( 0.1250 )2 → ( 0.0010 0000 )2

Finalmente el numero se forma con la parte entera y la parte decimal

(13.1250)10 → ( 1101. 0010 0000 )2 con 8 bits en la parte decimal.

Números binarios con signo

La representación de números binarios con signo es particularmente importante en los sistemas digitales, los números positivos se representan con el primer bit a la extrema izquierda con 0 que representa el signo +, seguido por la magnitud. Pero para los números binarios negativos se tienen tres formas diferentes de representarlos. Se tiene un 1 a la extrema izquierda que representa el signo - , seguido de a).- la magnitud, b).- el complemento a 1 y c).- el complemento a 2 del numero binario por expresar.

Los números con signo que se pueden representar dependen de la cantidad de bits que se emplean, en la tabla 2 se muestran los números binarios positivos y negativos que se pueden representar con cuatro bits.

TABLA 2 Representación de números binarios positivos y negativos utilizando 4 bits

Page 25: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Decimal Binario Signo y magnitud

BinarioComplemento a1

BinarioComplemento a 2

- 8- 7- 6- 5- 4- 3- 2- 1

0

+ 1+ 2+ 3+ 4+ 5+ 6+ 7

1 1111 1101 1011 1001 0111 0101 001

1 0000 000

0 0010 0100 0110 1000 1010 1100 111

1 0001 0011 0101 0111 1001 1011 110

1 1110 000

0 0010 0100 0110 1000 1010 1100 111

1 0011 0101 0111 1001 1011 1101 111

0 000

0 0010 0100 0110 1000 1010 1100 111

La representación de números binarios negativos la explicaremos con los siguientes ejemplos.

Ejemplo 9 Representar el número (-17)10 en forma binaria con 8 bits, en las formas a) signo y magnitud, b) complemento a 1 c) complemento a 2.

Solución

a) Representación de (-17)10 en forma binaria con signo y magnitud

La convención para representar el bit de signo es 0 para el “+” y 1 para el “ - ” en los tres casos, según se puede observar en la tabla 2. Para la magnitud en este caso basta con representarla con su valor en binario, esto es

(-17)10 → ( 1001 0001 )2

b) Representación de (-17)10 en forma binaria con complemento a 1 con 8 bits

La convención para representar el bit de signo es como ya se dijo: 0 para el “+” y 1 para el “ - ”. Para expresar la parte de magnitud negativa primero es necesario tomar su complemento a 1.

Page 26: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEl complemento a 1 de un numero se obtiene cambiando todos los “1” del numero por “0” y los “0” por “1” Esto es

(17)10 → (0001 0001)2

Entonces el complemento a 1 de 0001 0001 es 1110 1110

Por lo tanto la Representación de (-17)10 en forma binaria con complemento a 1 y con 8 bits, es 1110 1110

c) Representación de (-17)10 en forma binaria con complemento a 2 y con 8 bits

Para expresar la parte de magnitud negativa primero es necesario tomar su complemento a 2.

El complemento a 2 de un numero se obtiene tomando el complemento a 1 del numero y después sumando 1 al resultado, esto es:

Numero a complementar a 2 0001 0001Complemento a 1 es 1110 1110 Sumar 1 +1 Complemento a 2 1110 1111

Entonces la representación de (-17)10 en forma binaria con complemento a 2 y con 8 bits es: 1110 1111

Operaciones de suma y resta con números binarios

La operación de suma binaria se puede realizar en base a la siguiente tabla

Tabla de suma con números binarios

En esta tabla el ultimo cuadro se debe leer en 1 +1 resultado 0 y acarreo 1, su aplicación se muestra con los siguientes ejemplos:

Ejemplo 10 Sea M = 01011 y N = 00110 efectuar M+N

Solucion M 01 11 01 1 1 N + 0 0 1 1 0

Q = 1 0 0 0 1

Ejemplo 11 Sea A = 1110 0011 y B = 0010 1111 efectuar A+B

+ 0 1

0 0 1

1 1 1 0

Page 27: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Solucion A 0 11 1 01 01 01 11 1 B + 0 0 1 0 1 1 1 1

C = 0 1 0 1 0 0 1 0

Resta de números binarios

La resta comúnmente se realiza usando el complemento a 1 o el complemento a 2, la forma de hacerlo es similar en ambos métodos, al minuendo se le suma el complemento que corresponda, teniendo cuidado en terminar la operación dependiendo de si existe acarreo o no. Se ilustra con los siguientes ejemplos:

Ejemplo 12 Sea A= (100)2 y B = (10)2 realizar A – B usando complemento a 1.

Solución: En primer termino los números binarios se deben tener en el mismo tamaño de palabra es decir el mismo numero de bits.

A = 0100 y B = 0010, en seguida se obtiene el complemento a 1 del sustraendo, en este ejemplo de B, que resulta ser 1101 y al minuendo A se le suma el complemento a 1 de B

Comprobando en base 10

A 01 1 0 0 A 4

complemento a 1 de B + 1 1 0 1 - B - 2 1) 0 0 01 1 A – B 2 → + 1 A – B = 0 0 1 0Se debe de observar en este ejemplo que existe acarreo es decir se excede el tamaño de la palabra en un bit 1), este se suma, obteniéndose el valor de A – B.

De aquí se puede generalizar como regla 1: cuando se realiza la resta de 2 numeros binarios usando complemento a 1, si existe acarreo en la suma parcial este se suma, y el resultado es positivo.

Ejercicio 2. Determinar la regla 2 a seguir cuando se restan dos números binarios usando complemento a 1 y en la suma parcial no existe acarreo.

Ejemplo 13 Sea A= (100)2 y B = (10)2 realizar A – B usando complemento a 2.

Solución: Como ya se menciono en el ejemplo anterior los números binarios a restar deben tener el mismo tamaño de palabra es decir el mismo numero de bits.

A = 0100 y B = 0010, en seguida se obtiene el complemento a 2 del sustraendo, en este ejemplo de B, que resulta ser 1110 y al minuendo A se le suma el complemento a 2 de B

Page 28: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

A 01 1 0 0 complemento a 2 de B + 1 1 1 0

1) 0 0 1 0

A – B = 0 0 1 0

De aquí se puede generalizar como regla 3: cuando se realiza la resta de 2 números binarios usando complemento a 2, si existe acarreo 1) en la suma parcial este se desecha, y el resultado es positivo.

Ejercicio 3. Determinar la regla 4 a seguir cuando se restan dos números binarios usando complemento a 2 y en la suma parcial no existe acarreo.

2.2 Álgebra booleana.

El álgebra booleana proporciona un método sistemático para la comprensión y diseño de sistemas digitales en los que se utilicen dispositivos lógicos binarios, por ejemplo conmutadores, relevadores y compuertas lógicas. Es una álgebra apropiada para variables binarias que tengan solo dos valores, cerrado abierto, 0 y 1.

2.2.1 Teoremas.Como en el caso del álgebra ordinaria, el álgebra booleana está estructurada en un conjunto de supuestos fundamentales denominados axiomas o postulados y en base a estos se construyen las reglas básicas o teoremas del álgebra booleana

2.2.2 Representación eléctrica.

Los postulados son suposiciones fundamentales que también se denominan axiomas. El álgebra booleana se basa en 10 axiomas, a partir de los cuales se definen los teoremas o reglas del álgebra.

Para su fácil comprensión se presentaran o ilustraran con el uso de interruptores eléctricos, con la convención de que un interruptor abierto representa el 0 lógico y un interruptor cerrado el 1 lógico. También se enumeraran los postulados por 5 parejas, debido a la dualidad que existe encada par, esto es al cambiar en un postulado los 0 por 1 y la x por + se obtiene el otro postulado dual. Lo mismo ocurre con los teoremas.

Postulado 1ª: 0 • 0 = 0

Dos interruptores abiertos conectados en serie dan por resultado un circuito abierto.

Page 29: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Postulado 1b: 1 + 1 = 1

Dos interruptores cerrados conectados en paralelo dan por resultado un circuito cerrado.

Postulado 2ª: 0 • 1 = 0

Un interruptor abierto conectado en serie con uno cerrado da por resultado un circuito abierto.

Postulado 2b: 1 + 0 = 1

Un interruptor cerrado conectado en paralelo con uno abierto da por resultado un circuito cerrado.

Postulado 3ª: 1 • 0 = 0 Un interruptor cerrado conectado en serie con uno abierto da por resultado un

circuito abierto

Postulado 3b: 0 + 1 = 1

Un interruptor abierto conectado en paralelo con otro cerrado da por resultado un circuito cerrado.

Postulado 4ª: 1 • 1 = 1

Un interruptor cerrado conectado en serie con otro cerrado da por resultado un circuito cerrado.

Postulado 4b: 0 + 0 = 0

Un interruptor abierto conectado en paralelo con otro abierto da por resultado un circuito abierto.

Postulado 5ª: 0’ = 1

Un interruptor que no esta abierto se encuentra cerrado.

Postulado 5b: 1’ = 0

Un interruptor que no esta cerrado se encuentra abierto.

Teoremas del álgebra booleana

A continuación se presentan los 10 postulados mas usados, la demostración de algunos de ellos se realiza en el apartado 2.2.5.

Teorema 1 Leyes conmutativas

Teorema 1ª: X • Y = Y • XTeorema 1b: X + Y = Y + X

Teorema 2 Leyes asociativas

Teorema 2ª: X • (Y • Z) = (X • Y) • ZTeorema 2b: X + (Y + Z) = (X + Y) + Z

Page 30: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Teorema 3 Leyes Idempotentes

Teorema 3ª: X • X = XTeorema 3b: X + X = X

Teorema 4 Leyes de las identidades

Teorema 4ª: X • 1 = XTeorema 4b: X + 0 = X

Teorema 5 Leyes de los elementos nulos

Teorema 5ª: X • 0 = 0Teorema 5b: X + 1 = 1

Teorema 6 Leyes de los complementos

Teorema 6ª: X • X’ = 0Teorema 6b: X + X’ = 1

Teorema 7 Leyes de absorción

Teorema 7ª: X + X • Y = XTeorema 7b: X • (X + Y) = X

Teorema 8 Leyes distributivasTeorema 8ª: X • (Y + Z) = (X • Y) + (X • Z)Teorema 8b: (X + Y) • (X + Z) = X + Y • Z

Teorema 9 Ley de doble negación

X’’ = X

Teorema 10 Leyes de De Morgan

Teorema 10ª: (Y + Z)’ = X’ • Y’Teorema 10b: (X • Y)’ = X’ + Y’

Operaciones binarias

Las operaciones básicas que se realizan en el álgebra booleana son NOT, AND y OR, a partir de ellas se derivan todas demás. La operación que realizan se muestran con la tabla de verdad que es un listado sistemático de todas las posibles combinaciones de los valores 0s y 1s de las variables, incluyendo las entradas y las salidas.

Page 31: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Figura 1 Diagrama esquemático de las compuerta NOT, AND, OR, NAND y NOR

Representación de las operaciones binarias con tablas de verdad

Entradas Salidas

a NOT a0 11 0

Entradas Salida

Page 32: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

b a b AND a0 0 00 1 01 0 01 1 1

Ejercicio 6 Se deja al lector implementar las tablas para las compuertas NAND y NOR

Entradas Salidab a b OR a0 0 00 1 11 0 11 1 1

2.2.3 Diagramas de Venn.La representación con diagramas de Venn es una ilustración grafica en base a la teoría de conjuntos, su aplicación para compuertas lógicas como NOT, AND, OR NAND o NOR es sencilla, pero no resulta practica en representaciones complejas por lo que no es muy común su uso. En el ejemplo 14 se muestran los esquemas de algunas aplicaciones.

Ejemplo 14: Representación en diagramas de Veen de las operaciones binarias Q = X(X + Z) y X = XY + X

Page 33: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Page 34: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN2.2.4 Compuertas lógicas.

Una de las principales ventajas de utilizar el álgebra booleana radica en que las operaciones básicas AND, OR y NOT tienen un equivalente directo en términos de circuitos. Estos circuitos reciben el nombre de compuertas lógicas .

Las tres compuertas fundamentales reciben el mismo nombre que los operadores, es decir, existen las compuertas AND, compuertas OR y compuertas NOT. La última compuerta recibe el nombre más usual de inversor. En la figura 1 mostramos los símbolos, tanto tradicionales como internacionales, aunque usaremos preferentemente los símbolos tradicionales.

Con estas tres compuertas se puede implementar cualquier circuito lógico, pero así mismo existen otras compuertas que resultan de la combinación de una compuerta AND con un inversor que se denomina NAND y la compuerta OR con un inversor que se denomina NOR. En la figura 3.1 Se muestran los símbolos tradicionales.Es posible demostrar que con únicamente un tipo de compuertas ya sea NAND o NOR se puede implementar cualquier función lógica. Así

Una compuerta es universal si con solo este tipo de compuerta se puede implementar cualquier función lógica.

Ejemplo 15.- Expresar solamente con compuertas NAND la expresión

Q = A’B + AB’

Solucion .- Conviene negar dos veces la exprecion Q, esto es:

Page 35: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN Q = Q’’ = [ (A’B + AB’)’]’ = [ (A’B)’ (AB’)’]’

En donde podemos visualizar las compuertas NAND, (A’B)’ e (AB’)’ para finalmente efectuar el contenido de [ ]’ con otra compuerta.

Ejercicio 4 .-Se deja al lector hacer el diagrama esquemático para el ejemplo 15

Ejemplo 16 Expresar solamente con compuertas NOR la expresión

Q = A’B + AB’

Solución: Conviene negar dos veces a Q, esto es:

Q = Q’’ = [ (A’B + AB’)’]’

En donde podemos visualizar que (A’B + AB’)’ es una compuerta NOR y teniendo presente los teoremas de D’morgan (x +y)’ = x’ + y’ , (xy)’ = x’ +y’, es fácil ver que A’B también se puede representar con una compuerta NOR lo mismo que AB’

Ejercicio 5 .-Se deja al lector hacer el diagrama esquemático para el ejemplo 16

Dentro de las compuertas, que no implementan un operador directo, se encuentra la conocida como OR-exclusiva o XOR. Esta compuerta muestra la siguiente funcionalidad: Y = A'·B + A·B'. La importancia radica en su amplio uso en la aritmética binaria, siendo la base de la suma. También es muy usada en los circuitos de detección y corrección de errores, implementando funciones de comparación y paridad. El sumador completo, su símbolo y tabla de combinaciones se muestran en la figura 2

Page 36: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Ejemplo 17 Diagrama esquemático de un sumador completo

Entradas aCarreo C Suma SX Y Z C S0 0 0 0 00 0 1 0 10 1 0 0 10 1 1 1 01 0 0 0 11 0 1 1 01 1 0 1 01 1 1 1 1

Tabla de verdad para un sumador completo de 3 bit

Las operaciones binarias NOT, AND, OR NAND, NOR y EXOR y sus posibles combinaciones o arreglos se fabrican con el nombre de compuertas lógicas y de acuerdo con su tecnología se identifican en dos grandes familias, la familia TTL (Transistor Transistor Logic) y la familia CMOS (Complementary MOS) Semiconductores a base de oxido y metal.

La familia TTL se caracteriza porque se maneja un voltaje de alimentación de 5.1 V y corriente promedio del orden de los 10 mmA. Como característica importante es que se pueden manipular manualmente sin ninguna precaución.

La Familia CMOS tiene un voltaje de operación de 3.2 V y consume una corriente promedio de 10 nanoA. Y se debe de tener la precaución para manipularlas de usar ropa de algodón y usar una pulsera conectada a potencial de tierra a fin de evitar descargas eléctricas que pueden destruir la compuerta dada la poca corriente que soportan.

En la unidad V se presenta un estudio de las familias de compuertas con mayor detalle.

Page 37: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

2.2.5 Aplicación de teoremas del álgebra booleana.

La razón principal de esta álgebra y sus teoremas es su aplicación en la simplificación de expresiones y funciones booleanas. Al igual que en el álgebra ordinaria una función booleana puede escribirse de varias formas. Así mismo tiene sentido económico y de confiabilidad reducir una función booleana, ya que una forma sencilla conduce a sistemas más elementales.

2.3 Funciones lógicas.

Un circuito lógico combinatorio es un conjunto de compuertas lógicas interconectadas entre si, a fin de llevar a cabo una determinada función, su salida depende únicamente del valor lógico de las entradas.

El resultado de diseñar un circuito lógico deberá ser el obtener una función lógica o ecuación que describan la función de salida deseada, efectuada por operaciones lógicas, esto se ilustrara con el siguientes ejemplo:

Ejemplo 18. Diseñar el circuito lógico combinatorio para un granjero que tiene una cabra, un granero y que ronda el lugar un lobo, el granjero desea construir un circuito que se alarme en cualquier situación de peligro, aceptar que se tienen sensores para cabra suelta, lobo rondando y para granero abierto.

Solución: Realizaremos el diseño en 4 pasos 1º.- Definir el problema.

El circuito tendrá 3 entradas C, G, y L , con una salida Falarma la cual tendrá valor 1 cuando exista situación de peligro ya sea porque la cabra se pueda comer el grano o el lobo se coma la cabra. Se define a C, G y L como sigue:

Sea cabra = C, → C=0 cabra en corral, C = 1 cabra suelta granero = G, → G=0 granero cerrado, C = 1 granero abierto

lobo = L, → L=0 lobo no esta, C = 1 lobo rondando

2º.- Se propone la tabla de verdad, entendida como la relación de valores binarios que existe entre las entradas respecto a la función de salida, y que de solución al problema:

Page 38: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Entradas Salida miniterminoC G L Falarma

0 0 0 0 m0

0 0 1 0 m1

0 1 0 0 m2

0 1 1 0 m3

1 0 0 0 m4

1 0 1 1 m5

1 1 0 1 m6

1 1 1 1 m7

Se pone a 1 la posición m5 porque de la lectura de las entradas se desprende que la Cabra anda suelta y el Lobo ronda el lugar, Se pone a 1 la posición m6 porque la Cabra anda suelta y Granero esta abierto, finalmente se pone a 1 la posición m7 por la posición obvia de peligro.

3º.- Se obtienen la función lógica o ecuación que describe la salida, la cual se establece para cuando los valores de la función de salida F alarma son 1

Aquí conviene hacer las siguientes observaciones;

Se define minitermino cero = m0 = C’G’L’ = que es la combinación de entradas

0 0 0 , minitermino uno =m1= C’G’L = que es la combinación de entradas 0 0 1 y así sucesivamente para cada una de las combinaciones de entrada.

En este ejemplo la función lógica o ecuación que describe la salida deseada, que suene la alarma en caso de peligro, será la suma de los miniterminos m5, m6, y m7

Se define como lógica positiva el tomar los valores lógicos 1 para formar la ecuación.

Falarma = m5+ m6+ m7 = C G’ L + C G L’ + C G L

Al formato de esta función Falarma se le denomina suma de miniterminos o suma de productos

4º.- Finalmente se dibuja el diagrama lógico de compuertas.

Para hacerlo se requieren 3 compuertas AND de tres entradas y una compurta OR de dos entradas

Se deja al lector dibujar el diagrama esquemático.

Page 39: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEl analizar una circuito lógico tiene como propósito obtener el conjunto de funciones lógicas o ecuaciones que describen las operaciones booleanas que lleva a cabo el circuito, esto lo explicaremos con el siguiente ejemplo.

Ejemplo 19 Analizar el circuito lógico mostrado y describir las funciones lógicas o ecuaciones que describen las operaciones booleanas que lleva a cabo para dar las salidas S y C.

Solución en la primera compuerta AND tenemos xy’ , para la segunda AND compuerta x’y finalmente en la compuerta OR tenemos (xy’ + x’y) = S Para C tendremos C = xy

2.3.1 ARITMETICA DE FUNCIONES

Como ya se dijo resulta de particular importancia el reducir o simplificar las funciones lógicas por el aspecto de costo, pues entre menos compuertas mas económico, pero también entre mas sencillo sea un circuito su desempeño resulta mas confiable y será más fácil de construir.

Un método de cómo simplificar funciones requiere de aplicar una estrategia para hacerlo, se propone básicamente :

Tratar de aplicar los postulados X+X’=1 , X+1=1 , X+X =X pues de esta forma se podrán reducir términos.

Por observación identificar los términos que contengan variables como X , X’ y que se puedan agrupar por factorización, es decir la propiedad distributiva de la multiplicación respecto a la suma.

Estar atentos en la aplicación de otros teoremas, como X+XY=X Tener mucho cuidado en la aplicación de los teoremas de D’morgan,

recordar que los términos se niegan y el operador + (suma) cambia a • (multiplicación) y viceversa.

Esto se explica con los ejemplos siguientes:

Page 40: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Ejemplo 20. Reducir aplicando álgebra de boole la funciónalarma obtenida en el ejemplo 14 y mostrar un diagrama esquemático de las funciones original y simplificada

Falarma = m5+ m6+ m7 = C G’ L + C G L’ + C G L

Solución:Se observa que los miniterminos (m5 , m7 ) y (m6 , m7) se pueden factorizar, para sacar aprovecho de que tienen términos con variables complementarias, esto es m5 tiene a G y m7 tiene a G’ lo mismo para m6 y m7 que tienen a L y L’ respectivamente.

Falarma = m5+ m6+ m7 = C G’ L + C G L’ + C G L + C G L

= (C G’ L + C G L) + (C G L + C G L’)

= C L(G’+G) + CG(L+L’)

= C L(1) + CG(1)

= CL+CG

se deja al lector que identifique cuales postulados o teoremas se aplicaron en cada paso

Ejemplo 21 Simplifique las funciones booleanas al menor numero de literales:

1.- x(x’ + y) + xyz = xx’ + xy + xyz = 0 + xy +xyz = xy + xyz = xy

2.- xy + x’z + yz = xy + x’z + yz(1) = xy + x’z + yz(x + x’) = xy +x’z + yzx +yzx’ =xy + x’z + xyz + x’yz = xy(1+z) + x’z(1+y) = xy + x’z

3.- (x + y)(x +y’) = xx +xy’ +xy + yy’ = x + xy +xy’ + 0 = x

se deja al lector que identifique cuales postulados o teoremas se aplicaron en cada paso

Page 41: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN El complemento de una función F es F’ y su valor ,se obtiene

intercambiando ceros por unos y unos por ceros, pero cuando la función se expresa con términos, el complemento se encuentra algebraicamente aplicando los teoremas de Dmorgan que es conveniente leerlos asi: (x+y)’= x’y’ El complemento de la suma de dos términos es igual a el

primer termino negado por el segundo termino negado. (xy)’ = x’ + y’ El complemento de un producto de términos es igual a la

suma del primer termino negado + el segundo termino negado

Ejemplo 22 Obtener el complemento de las funciónes F = x’yz’ + x’y’z y Q=x(y’z’+yz) aplicando los teoremas de D’morgan tantas veces como sea necesario

F’ = (x’yz’ + x’y’z)’ = (x’yz’)’ (x’y’z)’ = (x+y’+z)( x+y+z’)

Q’ = [x(y’z’+yz) ]’ = x’ + (y’z’+yz)’ = x’ + (y’z’)’(yz)’= = x’ + (y+z)(y’+z’)

Un procedimiento mas sencillo para obtener el complemento de una función es aplicar el principio de dualidad que estable que toda expresión algebraica que pueda deducirse de los postulados del álgebra booleana seguirá siendo valida si se intercambian los operadores y los elementos de identidad. Si se quiere obtener el dual de una función simplemente se intercambian los operadores OR y AND y se sustituyen los unos por ceros y los ceros por unos.

Para obtener el complemento de una función se intercambian los operadores OR y AND y se complementa cada literal.

Ejemplo 23 Aplicando el principio de dualidad, obtener el complemento de las funciónes:

(a).- F = x’yz’ + x’y’z (b).- Q = x(y’z’+yz) F’ = (x’yz’ + x’y’z)’ = (x+y’+z)(x+y+z’)

(b).- Q’ = [x(y’z’+yz) ]’ = x’ + (y+z)(y’+z’)

Ejemplo 24 Aplicar los teoremas de De Morgan a las expresiones:(a).- [(A+B)’+c’]’ (b).- [ (A’+B) + CD]’ (c).- [(A+B)C’D’+E+F’]’

Solucion.-(a) [(A+B)’+C’]’ = (A+B)’’C’’= (A+B)C

(b) [ (A’+B) + CD]’ =(A’+B)’(CD)’= AB’(C’+D’)

Page 42: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

(c).- [(A+B)C’D’+E+F’]’= [(A+B)C’D’]’(E+F’)’= [(A+B)’+(C’D’)’](E’F) =(A’B’+C+D)E’F

2.3.2 Tablas de verdad.

Todas las expresiones booleanas pueden ser convertidas a tablas de verdad utilizando los valores binarios de cada término de la expresión. La tabla de verdad es un formato muy común y conciso para expresar el funcionamiento lógico de un circuito pues es cómodo para ver el valor de las entradas y el valor de salida resultante en función de los miniterminos, a partir de la tabla se puede generar la ecuación que define el comportamiento del circuito, como ya se hizo presente en el ejemplo 18. Su aplicación resulta lenta en su desarrollo, pero es fácil de implementar tanto para analizar un circuito como para su diseño.

Ejemplo 25Desarrollar una tabla de verdad para la expresión F = a’b’c +abc’ + abc

Solución. De la expresión algebraica podemos observar que la función F la podemos expresar con miniterminos como F = m001 + m110 + m111 = m1 + m6 + m7 por lo que la tabla de verdad es

Entradas Salida miniterminoa b c F0 0 0 0 m0

0 0 1 1 m1

0 1 0 0 m2

0 1 1 0 m3

1 0 0 0 m4

1 0 1 0 m5

1 1 0 1 m6

1 1 1 1 m7

Tabla de verdad de F = a’b’c +abc’ + abc = m1 + m6 + m7

Ejemplo 26. Representar en tabla de verdad la función lógica QBA = B’A + B A’

Solución. Expresamos la función Q en miniterminos, esto es QBA= m01+ m10 = m1+ m2

EENTRADAS QBA minitermino

Page 43: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

B A0 0 0 m0

0 1 1 m1

1 0 1 m2

1 1 0 m3

Tabla de verdad de QBA = B’A + B A’= m1 + m2

Ejemplo 27. Representar en tabla de verdad la función lógica QDCBA = B’A + DCB+D’B’A

Solución. Acompletamos con X las variables faltantes en la función , esto es:

QDCBA = XXB’A + DCBX + D’XB’AObservar que las literales deben de estár ordenadas en cada termino, en este

caso el orden es DCBA

En la tabla de verdad valoramos cada termino por separado, ponemos 1 para las entradas XX01 que corresponde con XXB’A, 111X →DCBX y finalmente 0X01→ D’XB’A

ENTRADAS OPERACIONESPARCIALES

FUNCIÓN DE SALIDA

D C B A B’A DCB D’B’A Q=B’A+DCB+D’B’A0 0 0 00 0 0 1 1 1 1 m1

0 0 1 00 0 1 10 1 0 00 1 0 1 1 1 1 m5

0 1 1 00 1 1 11 0 0 01 0 0 1 1 1 m9

1 0 1 01 0 1 11 1 0 01 1 0 1 1 1 m13

1 1 1 0 1 1 m14

1 1 1 1 1 1 m15

Page 44: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN Tabla de verdad de QDCBA = B’A + DCB+D’B’A= m1+m5+m9+m13+m14+m15

Observación.- Se dejo en blanco las demás casillas para darle claridad a la tabla, pero se debería haber puesto 0 en cada una de estas.

Ejemplo 28.- Desarrollar una tabla de verdad para la expresión F = (AB + AC)’ + A’B’C

Solución.- En la tabla primero valoramos cada termino por separado (operaciones parciales) y al ultimo la función (salida) ya integrada:

ENTRADAS OPERACIONES PARCIALES SALIDA miniA B C AB AC (AB+AC) (AB+AC)’ A’B’C F = (AB + AC)’ +

A’B’C0 0 0 1 1 m0

0 0 1 1 1 1 m1

0 1 0 1 1 m2

0 1 1 1 1 m3

1 0 0 1 1 m4

1 0 1 1 1 m5

1 1 0 1 1 m6

1 1 1 1 1 1 m7

Tabla de verdad de F = = (AB + AC)’ + A’B’C = m0+m1 + m2 + m3+ m4

Ejemplo 29.- Diseñar, usando tabla de verdad, un circuito lógico con tres variables de entrada X; Y; Z que a su salida de el valor en complemento a 2 de la entrada.

Solucion: ENTRADAS SALIDA

COMPLEMENTO A 2X Y Z XC2 YC2 ZC2

0 0 0 0 0 0 m0

0 0 1 1 1 1 m1

0 1 0 1 1 0 m2

0 1 1 1 0 1 m3

1 0 0 1 0 0 m4

1 0 1 0 1 1 m5

1 1 0 0 1 0 m6

1 1 1 0 0 1 m7

Tabla que muestra el complemento a dos de las entradas

Page 45: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

El circuito tendrá tres salidas de la siguiente forma XC2 = m1+m2+m3+m4= X’Y’Z+X’YZ’+X’YZ+XY’Z’ YC2 = m1+m2+m5+m6= X’Y’Z+X’YZ’+XY’Z+XYZ’ Zc2 = m1+m3+m5+m7= X’Y’Z+X’YZ+XY’Z+XYZ

Ejemplo 30 Demuestre con tablas de verdad la validez de la siguiente identidad:

QXYZ= X’Y’Z+X’YZ+XY’Z+XYZ = Z

Entradas Salida miniterminoX Y Z Qxyz

0 0 0 0 m0

0 0 1 1 m1

0 1 0 0 m2

0 1 1 1 m3

1 0 0 0 m4

1 0 1 1 m5

1 1 0 0 m6

1 1 1 1 m7

Tabla de verdad para QXYZ= X’Y’Z+X’YZ+XY’Z+XYZ = Z

En la tabla se puede observar que las columnas para QXYZ y Z son iguales por lo tanto la igualdad es VERDADERA

2.3.3 Soluciones gráficas.La simplificación de circuitos lógicos por métodos gráficos se puede realizar con diagramas de Venn pero como ya se dijo en el apartado 2.2.3 no resulta practica su uso en representaciones complejas por lo que no es muy común su empleo. La otra solución grafica es por mapas de karnaugh la cual resulta de fácil aplicación para expresiones de hasta 4 variables, para 5 variables o mas es conveniente usar métodos computacionales como por ejemplo la implementación del algoritmo de Quine – McCluskey, los interesados pueden ver el libro de John F. Wakerly, Diseño Digital, · Prentice Hall, 3ra Edicion, pag.236. En este libro el autor presenta un programa en lenguaje C para este algoritmo.

2.3.3 Diagramas o mapas de Karnaugh- Simplificación

Un mapas de Karnaugh es una representación de los miniterminos que proporciona un método sistemático de simplificación, que genera las expresiones suma de productos y productos de suma más simples posibles.

El mapa es similar a una tabla de verdad ya que muestra todos los posibles valores de las variables de entrada y la salida que resulta para cada valor. Su

Page 46: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

aplicación se ilustra fácilmente con los siguientes ejemplos, se muestra su empleo para 2, 3, y 4 variables.

Mapa de Karnaugh para dos variables.

El mapa de dos variables es un conjunto de 4 celdas, con todas las combinaciones posibles de la siguiente forma

El mapa de tres variables es un conjunto de 8 celdas, con todas las combinaciones posibles de la siguiente forma

El mapa de cuatro variables es un conjunto de 16 celdas, con todas las combinaciones posibles de la siguiente forma

Page 47: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Su aplicación se explica con los siguientes ejemplos

Ejemplo 31 Reducir las expresiones a) f = xy y b) Q = xy’ +x’y + xy

Solución a) se representa en el mapa como un 1 en la posición indicada, no tiene reduccion puesto que es un solo termino.

Solucion para b) Se represntan los 1 en la casilla correspondiente, se pueden hacer grupos de 2 . Se observa que el par de 1 horizontal acupa el dominio de x y que el par de 1 vertical el dominio de y por lo que la expresión queda Q = x + y

Ejemplo 32 a) Simplificar la expresión F(x,y,z) = ∑(0,2,4,5,6)

Solución Se anotan los 1 en la casilla correspondiente al minitermino indicado, se agrupan los 1 en múltiplo exponencial de 2, esto es 2, 4, 8, 16,.. 2n para este ejemplo como se indica en el diagrama. Se obserba el dominio que ocupa y se hace la anotacion. Se agrupan los cuatro miniterminos verticales y se observa que ocupa el dominio de z’ , y dos miniterminos horizontales estan en el dominio de xy’ por lo que la exprecion reducuda queda:

F = z’ +xy’

Page 48: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

b) Simplificar la expresión Q + x’yz +xyz +xyz’ + xy’z’ Solucion: En el mapa de 3 variables se anotan los 1 en la casilla correspondiente y se agrupan términos adyacentes en multiplos exponenciales de 2. ver figura se observa que dominios ocupan. Quedando la reduccion como Q = yz + xz’

Ejemplo 33 a) simplificar la expresión Booleana F(w, x,y,z) = ∑(0,1,2,4,5,6,8,9,12,13,14))

Solución: En un mapa de 4 variables se anotan los 1 en la casilla del minitermino correspondiente, se agrupan los terminosadyacentes en multiplos exponenciales de 2 , entre mas términos mayor es la reduccion, ver figura del ejemplo, se han formado tres grupos, uno de 4 términos en la parte superior, otro tambien de 4 termninos en la parte media y un tercero de 8 términos en la parte izquierda. Observando los dominios que ocupan se tiene:

F = y’ +w’z’ +xz’

Page 49: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANb) simplificar la expresión Booleana Q = A’B’C’ + B’CD’ + A’BCD’ AB’C’

Solución: Primero se debe de acompletar los miniterminos, esto es:

Q = A’B’C’(D + D’) +’BCD’(A +A’) +A’BCD’ + AB’C’(D +D’) = A’B’C’D + A’B’C’D’ +’BCD’A +’BCD’A’ +A’BCD’ + AB’C’D + AB’C’D’) = ∑(0,1,2,6,8,9,10)) y se sigue el procedimiento ya descrito en

apartado a). Q = B’D’ +B’C’ +A’CD’

UNIDAD III Electrónica Digital

OBJETIVOS PARTICULARES DE LA UNIDAD

Al término de la unidad, el alumno:- Determinará los aspectos básicos de la implementación teórica en dispositivos

electrónicos, partiendo de los elementos mínimos bit-transistor, base del estado sólido.

3.1 Electrónica Digital.

Son circuitos electrónicos que llevan a cabo las operaciones necesarias para obtener las decisiones lógicas.

En la tabla 3.1 se muestran los símbolos de empleados en las normas americanas y en las normas Europeas para representar las compuertas más

Page 50: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANcomunes:

TABLA No 3.1 Simbolos de compuertas más comúnmente empleadas

Símbolo americano Símbolo Europeo

 AND  AND

 NAND NAND

OR

NOR NOR

Oexclusiva

Oexclusiva

Yexclusiva

Puertatriestado

Realiza funcionesde AND y NAND

Realiza funcionesde OR y NOR

Inversor Inversor

OR

Page 51: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Diferencial Inversor schmitt

BufferBuffer

triestado

Buffer negado

Driver

3.2 Circuitos combinacionales.

Circuito combinacional

Un circuito combinacional es un circuito cuya salida es función exclusivamente del estado del valor lógico de sus entradas. Está compuesto por compuertas lógicas y no deben presenta realimentación, es decir, ninguna salida de ningún componente debe usarse como entrada del circuito. Se diseña atendiendo a las reglas de lógica combinacional. Un circuito combinacional puede describirse utilizando una fórmula con álgebra de Boole en la que las salidas sean dependientes solamente de las entradas.

Existen muchos circuitos combinacionales típicos. Algunos de ellos son:

Codificadores: Convierten una señal binaria en otra señal binaria de distintas características.

Multiplexores y Demultiplexores: Seleccionan una salida entre varias señales de entrada o al contrario, de una señal de entrada se obtienen varias salidas.

Comparadores: comparan 2 números en código binario.

Unidades aritméticas: suman, restan, multiplican, números binarios.

En los siguientes apartados se presentan estos circuitos con mayor detalle

Page 52: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

3.2.1 Familias de circuitos lógicos.

Familia TTL (Lógica de Transistor - Transistor)

Esta fue la primera familia de éxito comercial, se utilizó entre 1965 y 1985. Los circuitos TTL utilizan transistores bipolares y algunas resistencias de polarización. La tensión nominal de alimentación de los circuitos TTL son 5 V DC.

Niveles Lógicos TTL

En el estudio de los circuitos lógicos, existen cuatro especificaciones lógicos diferentes: VIL, VIH, VOL y VOH.

En los circuitos TTL, VIL es la tensión de entrada válida para el rango 0 a 0.8 V que representa un nivel lógico 0 (BAJO). El rango de tensión VIH representa la tensiones válidas de un 1 lógico entre 2 y 5 V. El rango de valores 0.8 a 2 V determinan un funcionamiento no predecible, por la tanto estos valores no son permitidos. El rango de tensiones de salida VOL, VOH se muestra en la figura

Figura 3.1Nivel lógico de entrada de un circuito TTL

Circuitos Lógicos CMOS (Metal Óxido Semiconductor Complementario)

La tecnología CMOS es la más utilizada actualmente para la construcción de circuitos integrados digitales, como las compuertas, hasta los circuitos como las memorias y los microprocesadores. La tensión nominal de alimentación de los circuitos CMOS son +5 V y +3,3 V.

Niveles Lógicos CMOS

Page 53: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEn la figura 3.2 se muestran las tensiones VIL, VIH, VOL, VOH válidas para los dispositivos CMOS de nivel +5 VDC.

figura 3.2 Nivel Lógico de Entrada de un circuito CMOS +5 V

Familias lógicas

Los circuitos digitales emplean componentes encapsulados, los cuales pueden albergar puertas lógicas o circuitos lógicos más complejos.

Estos componentes están estandarizados, para que haya una compatibilidad entre fabricantes, de forma que las características más importantes sean comunes. De forma global los componentes lógicos se engloban dentro de una de las dos familias siguientes:

TTL: diseñada para una alta velocidad. CMOS: diseñada para un bajo consumo.

Actualmente dentro de estas dos familias se han creado otras, que intentan conseguir lo mejor de ambas: un bajo consumo y una alta velocidad.

Tabla 3.2 Comparación de las familias

PARAMETROTTL estándar

TTL 74L

TTL Schottky de baja potencia (LS)

Fairchild 4000B CMOS (con Vcc=5V)

Fairchild 4000B CMOS (con Vcc=10V)

Page 54: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Tiempo de propagación

10 ns33 ns

5 ns 40 ns 20 ns

Frecuencia máxima de funcionamiento

35 MHz3 MHz

45 MHz 8 MHz 16 MHz

Potencia disipada 10 mW1 mW

2 mW 10 nW 10 nW

Margen de ruido admisible

1 V 1 V 0'8 V 2 V 4 V

Fan out 10 10 20 50 (*) 50 (*)

(*) O lo que permita el tiempo de propagación admisible

Dentro de la familia TTL encontramos las siguiente sub-familias:

L: Low power = disipación de potencia muy baja LS: Low power Schottky = disipación y tiempo de propagación pequeño. S: Schottky = disipación normal y tiempo de propagación pequeño. AS: Advanced Schottky = disipación normal y tiempo de propagación

extremadamente pequeño.

TENSION DE ALIMENTACION

CMOS: 5 a 15 V (dependiendo de la tensión tendremos un tiempo de propagación).

TTL: 5 V.

Parámetros de compuerta

Las compuertas lógicas no son dispositivos ideales, por lo que vamos a tener una serie de limitaciones impuestas por el propio diseño interno de los dispositivos lógicos. Internamente la familia TTL emplea transistores bipolares , por lo que tienen mayor consumo de potencia, mientras que la familia CMOS emplea transistores MOS por lo que presentan bajo consumo de potencia).

MARGEN DEL CERO

Es el rango de tensiones de entrada en que se considera un cero lógico:

Page 55: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANVIL máx: tensión máxima que se admite como cero lógico. VIL mín: tensión mínima que se admite como cero lógico.

MARGEN DEL UNO

Es el rango de tensiones de entrada en que se considera un uno lógico:

VIH máx: tensión máxima que se admite como uno lógico. VIH mín: tensión mínima que se admite como uno lógico.

MARGEN DE TRANSICION

Se corresponde con el rango de tensiones en que la entrada es indeterminada y puede ser tomada como un uno o un cero. Esta zona no debe ser empleada nunca, ya que la puerta se comporta de forma incorrecta.

MT = VIH mín - VIL máx

AMPLITUD LOGICA

Debido a que dos puertas de la misma familia no suelen tener las mismas características debemos emplear los valores extremos que tengamos, utilizando el valor de VIL máx más bajo y el valor de VIH mín más alto.

AL máx: VH máx - VL mín AL mín: VH mín - VL máx

RUIDO

El ruido es el elemento más común que puede hacer que nuestro circuito no funcione habiendo sido diseñado perfectamente. El ruido puede ser inherente al propio circuito (como consecuencia de proximidad entre pistas o capacidades internas) o también como consecuencia de ruido exterior (el propio de un ambiente industrial).

Si trabajamos muy cerca de los límites impuestos por VIH y VIL puede que el ruido impida el correcto funcionamiento del circuito. Por ello debemos trabajar teniendo en cuenta un margen de ruido:

VMH (margen de ruido a nivel alto) = VOH mín - VIH mín VML (margen de ruido a nivel bajo) = VIL máx - VOL máx

VOH y VOL son los niveles de tensión del uno y el cero respectivamente para la salida de la puerta lógica.

Page 56: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANSupongamos que trabajamos a un nivel bajo de VOL = 0'4 V con VIL máx = 0'8 V. En estas condiciones tendremos un margen de ruido para nivel bajo de:

VML = 0'8 - 0'4 = 0'4 V

FAN OUT

Es el máximo número de puertas que podemos excitar sin salirnos de los márgenes garantizados por el fabricante. Nos asegura que en la entrada de las puertas excitadas:

VOH es mayor que VOH mín VOL es menor que VOL mín

Para el caso en que el FAN OUT sea diferente a nivel bajo y a nivel alto, escogeremos el FAN OUT más bajo para nuestros diseños.

Si además nos encontramos con que el fabricante no nos proporciona el FAN OUT podemos calcularlo como:

FAN OUT = IOL máx / IIL máx

Donde IOL e IIL son las corrientes de salida y entrada mínimas de puerta.

POTENCIA DISIPADA

Es la media de potencia disipada a nivel alto y bajo. Se traduce en la potencia media que la puerta va a consumir.

TIEMPOS DE PROPAGACION

Definimos como tiempo de propagación el tiempo transcurrido desde que la señal de entrada pasa por un determinado valor hasta que la salida reacciona a dicho valor.

vamos a tener dos tiempos de propagación:

Tphl = tiempo de paso de nivel alto a bajo. Tplh = tiempo de paso de nivel bajo a alto.

Como norma se suele emplear el tiempo medio de propagación, que se calcula como:

Tpd = (Tphl + Tplh)/2

Page 57: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANFRECUENCIA MAXIMA DE FUNCIONAMIENTO

Se define como:

Fmáx = 1 / (4 * Tpd)

3.2.2 Conmutadores lógicos.

Los conmutadores lógicos o más comúnmente llamados compuertas lógicas son dispositivos o circuitos electrónicos que permiten implementar las operaciones lógicas AND, OR Y NOT. En lo general con base a estos circuitos simples se construyen todas las compuertas que existen en el mercado y cuyos símbolos se muestran en la tabla 2. En teoría se pueden construir cualquier circuito lógico solamente con estas tres compuertas, obviamente dependiendo de la complejidad del circuito a implementar, puede resultar prácticamente incosteable hacerlo solo con estas compuertas por el tamaño y gran posibilidad de fallas. La tecnología actual permite que en un solo circuito integrado fabricar una gran cantidad de compuertas, que por el número de ellas se les conocen como de baja (SSI), media (MSI) y alta (LSI) integracion

3.2.3 Integración de compuertas.

Los circuitos para las compuertas lógicas actualmente se fabrican para realizar desde funciones lógicas básicas AND, OR, NOT (SSI) , hasta aplicaciones muy complejas, (LSI) como son los dispositivos de lógica programable, memorias, microprocesadores, pasando por circuitos con funciones lógicas especificas (MSI) como son codificadores, decodificadores , multiplexores, demultiplexores, contadores, etc.

3.2.4 Codificadores y decodificadores

Codificador

Page 58: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEn general, se puede decir que un codificador es un circuito hecho para pasar información de un sistema a otro con clave diferente,

. En otras palabras, es un circuito integrado por un conjunto de componentes electrónicos con la habilidad para mostrar en sus terminales de salida una palabra binaria ( word binario 01101, 1100, etc.), equivalente al número presente en sus entradas, pero escrito en un código diferente. Por ejemplo, un codificador de Octal a Binario es un circuito codificador con ocho entradas (un terminal para cada dígito Octal, o de base 8) y tres salidas (un terminal para cada bit binario).

Otro ejemplo es el codificador de teclado (Keyword encoder) que convierte la posición de cada tecla (9, 3, . 5, + , %, etc.) en su correspondiente palabra binaria (word) asignada previamente.

Un ejemplo de lo anterior es el teclado codificador en ASCII (American Standard Code for Information Interchange), que genera lapalabra de 7 bits 0100101 cuando es presionada la tecla del porcentaje (%).

Decodificador

El decodificador es un circuito combinacional diseñado para convertir un número binario a un orden distinto, para ejecutar un trabajo especial.

Esto es , la palabra binaria que sale, tiene un formato diferente, a la palabra que entró, aunque tenga la misma cantidad de bits.

Ejemplo 34

El circuito lógico que convierte una entrada en código binario BCD a decimal

Figura ejemplo 35 decodificador BCD a decimal

En Electrónica Digital es a menudo necesario pasar un número binario a otro formato, tal como el requerido para energizar los siete segmentos de los “display” hechos con diodos emisores de luz, en el orden adecuado para que se ilumine la figura de un número decimal en particular..

Ejemplo. 36

El circuito que convierte una entrada en codigo BCD a un formato de salida para manejar un display de 7 segmentos se muestra en su tabla de verdad y esquema de la compuerta 74HC42.

Page 59: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Figura ejemplo 36.- Decodificador BCD a 7 segmentos

Los decodificadores son también usados en los microprocesadores para convertir instrucciones binarias en señales de tiempo, para controlar máquinas en procesos industriales o implementar circuitos lógicos avanzados

3.2.5 Multiplexores y demultiplexores.

Multiplexor

El multiplexor es el equivalente lógico digital de un interruptor giratorio de varias posiciones, tal como la llave que sirve para seleccionar las bandas de un receptor de radio.Un multiplexor típico en circuitos integrados es aquel que puede seleccionar cualquiera de varias líneas de entrada y comunicar a una línea común de salida el nivel lógico que allí encuentre. Mediante unas líneas auxiliares de control binario se le puede "direccionar" para que se "estacione" en determinada línea de entrada de datos (la primera, la quinta, séptima, etc.) con el fin de que aquellos sean comunicados a la línea de salida.Un integrado multiplexor común tiene 8 entradas de datos (bits), tres entradas direccionadas (address) y una sola línea para salida de datos. Cuando la dirección 101 -leer "uno- cero - uno" - es aplicada al multiplexor, la entrada 5 es "comunicada" con la salida.

Page 60: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANUna aplicación muy importante es la facilidad de implementar una función lógica usando un multiplexo.

Ejemplo 36 a

Implementar la función lógica Q = x’y’z +x’yz’+xyz’+xyz usando un circuito multiplexor.SOLUCION

Q (xyz) = x’y’z +x’yz’+xyz’+xyz = ∑(1,2,6,7)

Se emplea un multiplexor de 4 a 1 es decir 4 entradas (E0, E1, E2, E3) a una salida F, este circuito tiene entonces dos lineas de entrada de control S0 y S1

Conviene tener en cuenta que el circuito funciona de la siguiente manera: si la entradas S0 y S1 son 0 0 a la salida estará el valor de la entrada E0, de igual forma si S0 y S1 están con el valor 0 1 a la salida tendremos E1, y asi sucesivamente.

Procedemos de la siguiente formaLas dos primeras dos variables x, y se aplican a las lineas de control en ese orden, x a entrada S1, y y a entrada S0. Los valores de las lineas de entrada E0, a E3 se deducen de la tabla de verdad de la función.(Ver figura 36 a de tabla de verdad) Cuando A B valen 0 0 a la salida deberá estar el valor F igual a E0=0, por lo tanto a la entrada E0 pondremos el valor lógico de z. cuando A B valen 0 1 a la salida deberá estar el valor F igual a E1=z’, por lo tanto a la entrada E1

conectamos el valor de z’. cuando A B valen 1 0 el valor de F deberá ser 0 por lo que conectamos en E2, el valor lógico de 0 y finalmente si A B valen 11, F sera tanbien igual a 1 , por lo que nuevamente conectamos 1 a la entrada E3

.Con esto ya se tiene el circuito que se muestra en la figura ejemplo 36 implementacion con multiplexor.

Page 61: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Con este ejemplo se muestra la ventaja de que una función lógica de tres variables se puede implementar con una sola compuerta multiplexora y una compuerta inversora sin necesidad de reducir el circuito.

En general se puede implementar cualquier función de n variables con un multiplexor de n-1 entradas de selección y 2n-1 entradas de datos.

Ejemplo 36b

Implementar con multiplexor la funciónF(ABCD) = ∑(1,3,4,11,12,13,14,15)

Solucion.

Se tiene una función con n = 4 variables, por tanto se requiere un multiplexor de 8 X 1, ocho entradas de datos por una salida, el cual tendrá 3 entradas de control

A las entradas de control S2, S1, S0 les asignamos, el valor A,B,C respectivamente, y a las 8 entradas (E0, E1, E2, E3 ,E4, E5, E6, E7) los que se deducen de los valores que se muestran en la tabla de verdad de la figura ejemplo 36b.

Page 62: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Figura del ejemplo 36b Implementacion de una función de 4 variables F(ABCD) = ∑(1,3,4,11,12,13,14,15)

Aprovechando el fenómeno conocido como "persistencia visual", se emplean con mucha frecuencia circuitos multiplexor en el manejo de los visualizadores numéricos de las calculadoras y relojes electrónicos portátiles, ya que así se logra disminuir el consumo de corriente y la cantidad de pines (patas) que deberían llegar hasta el circuito activador.

Por ejemplo, un display estático de 3 dígitos LED de 7 segmentos, tiene en total 24 pines (8 por cada dígito) y un consumo de corriente igual a la suma de lo gastado por cada segmento activado. En el mismo caso, un display dinámico (multiplexado) tiene solamente 10 pines (7 líneas que unen en paralelo los correspondientes segmentos en cada dígito, y 3 líneas de salida individual por dígito (el cátodo o el ánodo común, según sea la polaridad del display). El consumo total de corriente para iluminar digamos el 888 sería aproximadamente igual al gastado por un sólo dígito en la forma estática.

Debido a la "persistencia visual" en nuestros ojos, es posible multiplexor los tres dígitos del display para que enciendan y apaguen sucesivamente en forma escalonada, sin que notemos parpadeo y dé la sensación de estar continuamente iluminados. Primero uno, luego el otro, y así sucesivamente hasta que le toca repetir al que inició el ciclo; a las veces que les toca repetir su encendido por cada segundo de tiempo, se les denomina RATA DE REPETICION o cantidad de CUADROS. Igual que en televisión, la rata no debe

Page 63: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANser menor a 30 cuadros por segundo, pero se permite aumentar esta cifra hasta donde lo permitan los tiempos de propagación de las compuertas empleadas en la integración del multiplexor.

Demultiplexor

El demultiplexor funciona de manera contraria al multiplexor: tiene una sola línea para entrada de datos y dos o más salidas seleccionables. Tal como ocurre con el multiplexor, un conjunto de terminales de entrada conocidos como "address" direcciona o escoge la salida.

El address necesita una cantidad de terminales suficientes para recibir la cantidad de bits que conforman el número binario equivalente al máximo de salidas.

Así, por ejemplo, un demultiplexor de 1 línea a 8 líneas, requiere tres bits para poder "llevar" la entrada hasta la salida octava (address 111 es el número binario equivalente al decimal 7, pero corresponde a la posición octava por tener en cuenta que el 000 es la posición primera).

Los dDeMUX se utilizan también como decodificadores de binario a un solo nivel de salida, tal como los decodificadores BINARIO a DECIMAL, o BINARIO a HEXADECIMAL: colocando un número binario en sus entradas de datos(address), se obtiene un estado distinto en la salida correspondiente. en otras palabras, colocando mediante un decodificador BCD un cierto número en los terminales de entrada, digamos 0101, podremos hacer que la salida sexta pase a nivel bajo, lógico "0

Una aplicación muy importante es la facilidad de implementar una o mas funciónes lógicas usando un solo demultiplexor.Ejemplo 37 Implementar la función lógica Q (XYZ) = ∑(3,5,6,) y F(XYZ) = ∑(5,7)

Teniendo presente que un multiplexor, por ejemplo de 8 salidas, el cual requiere de 3 lineas de “adress” o de control de direccionamiento, y que el valor de estas definen el valor de salida. Esto es, si en la entrada esta el valor de 000 a la salida estará activo el valor en Y0, si fuese 011, a la salida estará activo el valor de Y3 y así sucesivamente.

Solución.

Page 64: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANSe asigna el valor de las variables XYZ a las entradas de direccionamiento en el mismo orden de peso. Esto es, asignamos X a E2, Y a E1, y Z a E0 y para implementar el circuito basta con sumar lógicamente los miniterminos presentes en la función booleana para Q y para F respectivamente.

Figura 1 para el ejemplo 37b Implementacion de una función con demultiplexores.

Cabe señalar que si se usa una compuerta comercial por ejemplo la 74138 el peso de las entradas de direccionamiento son CBA en este orden y ademas se debe de tener en cuenta que cuando su salida es activa presenta el valor de 0. Por lo que para hacer la suma lógica de los miniterminos se debera usar una compuerta NAND.

Se deja al lector realizar el diagrama esquematico de la solucion..

Ejemplo 37

Page 65: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Se muestra una relación de las compuertas TTL más comúnmente usadas

NAND

7400 CUADRUPLE DE 2 ENTRADAS7410 TRIPLE DE 3 ENTRADAS7420 DUAL DE 4 ENTRADAS7430 UNA DE 8 ENTRADAS

AND

7408 CUADRUPLE DE 2 ENTRADAS

NOR

7402 CUADRUPLE DE 2 ENTRADAS7427 TRIPLE DE 3 ENTRADAS

OR

7432 CUADRUPLE DE 2 ENTRADAS

NOT O INVERSOR

7404 SEXTUPLE

CODIFICADORES74185 BINARIO A BCD

DECODIFICADOR 7446 BCD A 7 SEGMENTOS COMUN A POSITIVO +7448 BCD A 7 SEGMENTOS COMUN A NEGATIVO -74145 BCD A DECIMAL74138 UNA 3 ENTRADAS A 8 SALIDAS74139 DUAL 2 ENTRADAS A 4 SALIDAS

FLIP-FLOP

7474 DOBLE TIPO D, CON SET/RESET7476 DOBLE TIPO JK CON SET/RESET

CONPARADOR

Page 66: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

7485 DE 4 BITS74521 DE 8 BITS

REGISTROS

7491 REGISTRO DE DESPLAZAMIENTO SERIE DE 8 BITS7495 REGISTRO DE DESPLAZAMIENTO PARALELO DE 4 BITS74194 REGISTRO UNIVERSAL DE 4 BITS

CONTADORES7492 CONTADOR DECIMAL “UP/ DOWN” PROGRAMABLE7493 CONTADOR BINARIO “UP/DOWN” PROGRAMABLE

ARITMETICO

7483 SUMADOR COMPLETO DE 4 BITS74181 ALU DE 4 BITS

3.2.6 Flip-flops, relojes, registros, contadores

A los circuitos que son capaces de tener dos estados estables, los cuales se generan según sea el valor de las entradas de excitación se les conoce como Flip-Flops, estos circuitos como se vera mas adelante son fundamentales para realizar circuitos secuénciales los cuales a su vez son la base para la construcción de circuitos de las computadoras.

En este apartado se muestra la construcción de los circuitos con compuertas y sus aplicaciones se veran más adelante.

Flip-Flops

Para un mismo FLIP-FLOP existen dos tipos de circuitos, según sea su respuesta.

Flip-Flop ,estos responden una señal de reloj durante los cambios de 1 a 0 lógico o de 0 a 1 lógico,según sea respuesta por flanco de subida o de bajada respectivamente, notar que se dice solo responde al momento del cambio

Page 67: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN Flip-flop de cerrojo ( latch ) o asincronos los que su salidas responden a una

señal de relog durante todo el tiempo que permanesca el 0 o 1 lógico en sus entradas.

Flip-Flop S-R (Set-Reset)

Este dispositivo es similar al cerrojo S-R, la diferencia radica en la inclusión de una señal de reloj, que actúa como señal de confirmación del paso de los datos hacia el circuito principal, el cuál se encarga de memorizar los datos. Su representación en los sistemas digitales es la que se muestra en la figura

Este tipo de flip-flop no es muy comercial así que no se darán mas detalles sobre este dispositivo en este apartado.

figura 3.3 Flip-flop S-R

Flip-Flop D (Data)

El flip-flop D es muy similar al cerrojo D, y su diferencia radica en que la señal habilitadora (enable) es reemplazada por el mecanismo del flip-flop maestro/esclavo, el cual actualiza los datos cada vez que la señal de reloj tiene una transición de 0 a 1 o 1 a 0 dependiendo del tipo de flip-flop. La estructura del flip-flop D y su representación simplificada se muestran en la figura

figura 3.4 Flip-flop D

La tabla 3.3 es la tabla de verdad de exitacion de este flip-flop, la cual indica que el valor de la entrada D se trasfiere a la salida cuando ocurre un pulso de reloj.

D CLK Qi+1

Page 68: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

0 ↑

0

1 ↑ 1

Tabla 3.3 Tabla de excitación del flip-flop D

La forma de operación de este flip-flop es muy sencilla:

o Cuando D=0 y se presenta un cambio de 0 a 1 lógico en la entrada de reloj del flip-flop la salida Q=0.

o Cuando D=1 y se presenta un cambio de 0 a 1 lógico en la entrada de reloj del flip-flop la salida Q=1.

En otras palabras, el dato en D se transfiere y memoriza en Q cada vez que se presenta una transición de 0 a 1 lógico en la señal de reloj (CLK); esta condición se conoce con el nombre de transición por flanco positivo.

La condición complementaria a la anterior es cuando la transición es de 1 a 0 lógico, en este caso se dice que la transición se da por flanco negativo.

A este flip-flop tipo D, también se le denomina Espejo pues la salida es el reflejo de la entrada.

Flip-Flop D Preset-Clear

Este flip-flop es similar al flip-flop D, excepto que este tiene dos entradas asincrónicas activadas en bajo llamadas Preset y Clear. Estas entradas como su nombre lo indican sirven respectivamante para poner en 1 y 0 la salida Q del flip-flop independientemente de la señal de reloj. La configuración de este flip-flop y su representación abreviada se describen en la figura 3.5.

Page 69: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 3.5 Flip-flop D Preset-Clear

La gran parte de los Circuitos Integrados que contienen flip-flops vienen con entradas asíncrónicas de inicialización y borrado (Preset y Clear), comunmente representados con las abreviaturas PRE y CLR.

Flip-Flop J-K

Este flip-flop es una versión modificada del flip-flop D, y su aplicación es muy difundida en el Análisis y Diseño de Circuitos Secuenciales. El funcionamiento de este dispositivo es similar al flip-flop S-R, excepto que en este no se presentan indeterminaciones cuando sus dos entradas se encuentran en 1 lógico, si no que el flip-flop entra en un modo de funcionamiento llamado modo complemento, en el cual, la salida Q cambia a su estado complementario después de cada pulso de reloj. La configuración de este flip-flop y su representación abreviada se muestran en la figura 3.6. y en la tabla 3.4 se indican la tabla de exitacion de entrada y salida de este flip-flop.

Page 70: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 3.6 Representación del flip-flop J-K

Note que las entradas J y K controlan el estado de este flip-flop de la misma manera que en el flip-flop D. Cuando las entradas son J=1 y K=1 no generan un estado indeterminado a la salida, sino que hace que la salida del flip-flop cambie a su estado complementario.

J K CLK Qi+1

0 0 ↑ Qi

1 0 ↑ 1

0 1 ↑ 0

1 1 ↑ Qi'

Tabla 3.4Estados del flip-flop J-K

Flip-Flop T (Toggle)

Este flip-flop recibe su nombre por la función que realiza (Toggle) cambiando el estado de la salida por su complemento. Es una modificación del flip-flop J-K limitándolo a cumplir exclusivamente esta función, la cual se logra uniendo las terminales J y K como se muestra en la figura 5.5.7.

figura 3.7 flip-flop tipo T

Page 71: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

La tabla de verdad de este flip-flop se limita a las líneas 1 y 4 del flip-flop J-K.

Ejercicio 7Se deja al estudiante hacer una investigación sobre las Compuertas comercialesQue existen en el mercado nacional.

La principal aplicación de los flip-flop es en los circuitos secuenciales y por su importancia, para su estudio se asigna la unidad IV que se estudiara mas adelante.

Circuitos Integrados para Relojes

Como hemos señalado los flip-flops necesitan recibir una señal de reloj para poder cumplir su función en los circuitos secuenciales. Los circuitos mas conocidos para desempeñar la función de reloj son los llamados osciladores o generadores de pulso.

Los osciladores son circuitos que cambian el estado de sus salidas permanentemente entre dos estados lógicos (1 lógico y 0 lógico). Por esta razón estos circuitos son útiles para generar señales de reloj para los circuitos secuenciales sincrónos.

Existen varios osciladores que se pueden construir para generar señales de reloj, mediante el uso resistencias, condensadores, inversores y compuertas. En la Figura 3.8 se observan algunas configuraciones que se pueden implementar haciendo uso de estos elementos. El esquema de la figura 3.8 (a), es un circuito generador de pulsos sin rebote y opera de forma manual. En la posición (1) la salida será 0 lógico y cuando el interruptor se ubica en la posición (2) la salida es 1 lógico.

En las figuras (b) y (c) se muestran dos circuitos generadores de pulso que se pueden implementar usando inversores y compuertas. La frecuencia de oscilación depende básicamente de los valores de la resistencia y el condensador.

Para este tipo de circuitos se recomienda el uso de circuitos CMOS de la serie B, ya que estos tienen tiempos de conmutación menores.

Page 72: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 3.8 Circuitos generadores de relog

A continuación se estudiaran dos tipos de osciladores cuyo uso es muy difundido en Electrónica Digital. Se mencionaran los aspectos básicos sobre su montaje sin tratar de explicar su funcionamiento, dejando como ejercició para el estudiante analizar su operación.

Oscilador con Disparador de Schmitt

En la Figura 3.9 se observa la configuración de este oscilador. La salida Vo es una onda aproximadamente cuadrada y su forma depende de los valores de R y C. En la Tabla 3.5 se observa la relación entre los valores de R y C con la frecuencia para tres tipos de integrados con inversores tipo Schmitt, junto con los rangos de valores que debe tener R para que el circuito oscile.

figura 3.9 Oscilador con disparador de Schmitt

Page 73: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Tabla 3.5 Frecuencia de oscilación según R y C

Es importante señalar que la estabilidad en el funcionamiento de estos circuitos en lo que se refiere a mantener la frecuencia de salida es no mejor de un 10%, por lo que en la practica se usan solamente si en la aplicación especifica no se ve afectada por esta limitacion.

Ejemplo.39 – 1 Cual es la frecuencia de operación para un circuito de reloj como se muestra en la figura 3.9 oscilador con disparador de Smith si C = .01 µF y R = 500 ohms y se usa la compuerta 74LS14

Solucion:

F = 0.8/RC = 0.8 / (500 X .01 X 10-6 ) = 0.8 / 5 X 10-6 = 0.16 X 106 = 160 Khz

En la practica este valor podrá estar en 160 ± 16 Khz.

Se deja al estudiante corroborar o desmentir esta afirmación.

Multivibrador astable con CI-555

El CI-555 es un dispositivo de tecnología TTL que funciona de varios modos. En la Figura 3.10 observamos la manera de conectar los componentes al Circuito Integrado de forma que opere como un Multivibrador Astable. La frecuencia de oscilación de la señal de salida depende de los valores de las resistencias RA, RB

y C.

Integrado Frecuencia Valor de R

7414 0.8/RC R 500

74LS14 0.8/RC R 200

74HC14 1.2/RC R 10M

Page 74: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 3.10 Multivibrador astable con CI-555

La señal de salida de este circuito es una onda cuadrada de las características que se indican en la Figura 3.11, donde los tiempos t1 y t2 están dados por las siguientes expresiones:

figura 3.11 Señal de salida del CI-555

El periodo y al frecuencia de esta señal están dados por:

Para que el circuito oscile es necesario que se se cumplan ciertos rangos para los valores de las resistencias y el condensador, los cuales se relacionan a continuación.

Page 75: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Es de observarse que este circuito 555 es muy versátil tiene una infinidad de aplicaciones, se deja al estudiante hacer una investigación documental acerca de cuales podrían ser.

Ejemplo 39 – 2 de aplicacion

Diseñar un circuito de relog para una frecuencia de 5 Hz. Con 3 ciclos en valor alto y 2 ciclos en valor bajo.

Solucion

Ttotal = t1 + t2 = 5

t1 = 0.693 RB x C = 2 y t2 = 0.693 (R1 + R2) C = 3

Sea RB = 10 000 ohms

→ C = 2 / 0.693 RB = 2 / 0.639 X 10 000 = 3.13 x 10 -4 = .0313 µF

para el calculo de RA

t2 = 0.693 (RA + 10 000) X 3.13 x 10 -4 = (R1 + 10 000) X 2.17 x 10 -4 = 3

→ R1 = (3 – 2.17 x 10 -4 x 10 000) / ( 2.17 x 10 -4) = 0.83/2.17 x 10 -4 = 3,824.88

los valores serán: → R1 = 3,824.88 → 3.8 KohmsR2 = 10 000 → 10KC = 0.313 µF

Page 76: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Figura para el ejemplo 39

Registros

Son dispositivos para el almacenamiento, o manipulación de información binaria

Existen diversos tipos de registros, dependiendo de la función que desarrollen:

- Registros de almacenamiento simple. Su función es básicamente la de almacenar una información.

- Registros de conversión serie-paralelo. Son registros que realizan la conversión de la información que accede a ellos en serie, a un formato en paralelo.

- Registros conversión paralelo-serie. Son registros que realizan la función inversa a los anteriores, es decir, a la información que accede a ellos en paralelo, le dan formato serie.

- Registros de desplazamiento. Son registros que permiten el desplazamiento de la información que almacenan. También suelen servir para las funciones anteriores.

Registros de desplazamiento

Los registros de desplazamiento realizan fundamentalmente dos funciones : rotaciones, y aplazamientos propiarnente dichos.

- ROTACIONES. Pueden ser a la derecha o la izquierda. Se realizan en bucle cerrado y se pueden utilizar para analizar el estado de un bit que forma parte de

Page 77: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANuna información, y cuyo acceso solo es posible en una posición determinada. Los registros que realizan esta operación se denominan registros en anillo (un caso particular es el de los contadores en anillo, cuando aprovechamos el desplazamiento para realizar una cuenta).

Vamos a ver los diversos tipos de rotación.

Rotación a la izquierda (ROL). Veamos aplicándolo a un acumulador del microprocesador 6800 (tiene registros de 8 bits, y los testea a través de un biestable C).

figura 3.12 Rotación a la izquierda

Después de ocho desplazamientos, todos los bits, que conforman el contenido del AccA, pueden ser muestreados cuando pasan por "C" (acarreo).

Rotación a la derecha (ROR). En este caso la rotación se hace a derechas. Siguiendo con cl ejemplo anterior, tenemos:

figura 3.13 Rotación a la derecha

Nuevamente, después de ocho desplazamientos todos los bits, que conformam el contenido del AccA, pueden ser muestreados cuando pasan por "C".

- DESPLAZAMIENTOS. Tenemos dos tipos de desplazamientos: el lógico y el aritmético, según se vean o no implicados elementos ajenos al propio registro.

Page 78: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANVamos a ver algunos tipos de desplazamientos:

Desplazamiento aritmético a la izquierda (ASL). Básicamente realiza la siguiente función:

figura 3.14 Desplazamiento aritmético a la izquierda (ASL

Un desplazamiento a la izquierda equivale a una multiplicación por 2 en el sistema binario.

Desplazamiento aritmético a la derecha (ASR). Básicamente realiza la función siguiente:

figura 3.15 Desplazamiento aritmético a la derecha (ASR)

Desplazamiento lógico a la derecha (LSR). Equivale a una division por 2 en binario.

Page 79: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 3.16 Desplazamiento lógico a la derecha (LSR).

Aquí, vemos que entra un "O" exterior al registro.

CONVERTIDORES PARALELO/SERIE:

Algunas veces es necesario convertir datos paralelos a datos serie, y viceversa. Dicho proceso es llevado a cabo fácilmente usando un registro para almacenar los datos, y luego transfiriendo los datos a su salida en la forma deseada.

Ejemplo40 Se deja al alumno hacer una investigación documental de cuales convertidores serie – paralelo y viceversa existen en la familia TTL y como es que la realizan.

Contadores.

Un contador digital es constituido exactamente en igual forma que un divisor de frecuencia. En efecto, el circuito divisor-por-diez es en el fondo un contador, porque cuenta hasta diez pulsos y da una salida; se repone y queda listo para repetir el procedimiento de nuevo. Si se colocan varios flip-flops tipo JK en cascada, que inicien en el binario CERO, un BURST de pulsos en serie, colocados en la entrada, dejará los flip-flops en estados tales que ellos indiquen en forma binaria la cantidad de pulsos que arribaron al terminal de entrada.

Notemos de nuevo que cada etapa debe cambiar de estado solamente cuando la anterior pasa de lógica 1 a lógica 0. Cuando en electrónica se menciona la expresión BURST, que traducida significa "ráfaga", "reventar", "porción", se quiere dar a entender que esos pulsos se presentan como un tren definido, "como una cierta cantidad de vagones unidos entre sí", iguales y mensurables en su cantidad. (En el estudio de televisión en color se encuentra con mucha frecuencia esta palabra BURST, y se refiere a los 8 o más pulsos encargados de sincronizar los circuitos de crominancia, los cuales vienen a manera de "ráfaga de metralleta" incluidos dentro de la onda portadora de TV).Los contadores digitales son un medio muy práctico para determinar FRECUENCIA, si la entrada del contador es "abierta" a una señal de frecuencia desconocida, durante un tiempo exactamente controlado (recordemos que

Page 80: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN"frecuencia" es la cantidad de ciclos que transcurren durante un segundo de tiempo). Los medios-ciclos (half-cycles) positivos de la frecuencia desconocida son contados, y su cantidad en el período de conteo permite establecer la frecuencia.

Si el lado complementario del JK flip-flop es usado para manejar al que sigue, entonces el contador es conocido como un BACKWARD COUNTER (contador hacia atrás); esto es, el arranca en 1111 y cuenta sucesivamente hacia abajo, 1110, 1101, 1100, etc. Este tipo de contador es muy práctico cuando se quiere determinar la DIFERENCIA entre una frecuencia desconocida y una frecuencia asignada. El contador puede ser pre-cargado con la frecuencia de entrada. El resíduo positivo o negativo , a la izquierda del contador es la diferencia.La figura 3.17 muestra un contador de 4 bits, implementado con cuatro flip-flops tipo T (Toggles), a partir de integrados JK, recordemos que en este caso no se tiene en cuenta las entradas J y K, por lo que se deben dejar "al aire", en lógica 1 (muchos integrados digitales están internamente hechos para que sus entradas queden automáticamente en nivel alto cuando son dejados "al aire" sus terminales).

Hay muchas clases distintas de flip-flops contadores en circuito integrado IC. El módulo de un contador especifica la máxima cuenta que el alcanza antes de reciclar. Los contadores módulo 10 son muy populares porque ellos reciclan después de caer el décimo pulso de entrada, y por lo tanto proveen una manera fácil de contar en decimal. Ellos son a menudo llamados CONTADORES DE DECADAS BCD (Binario Codificado a Decimal), y siempre tienen solamente cuatro terminales de salida (representan desde el 0000 hasta el 1001). Los contadores que están diseñados para aprovechar al máximo los cuatro bits del word nibble (medio byte) de salida, se llaman contadores HEXADECIMALES (representan desde el 0000 hasta el 1111).

Los contadores pueden tener una variedad de controles de entrada. Un contador típico, por ejemplo, se puede programar para que cuente hacia arriba o hacia abajo (Up/Down). Puede también tener entradas de control para regresar la cuenta a 0's, iniciar la cuenta en cualquier valor deseado, o para indicar los momentos en los cuales el contador debe trabajar. Estos últimos terminales son los habilitadores, o entradas ENABLE. Debido a que los contadores almacenan la cuenta acumulada hasta que llegue el próximo pulso clock, ellos pueden ser considerados STORAGE REGISTERS.

Los circuitos integrados TTL contadores más comunes son

74190 Contador sincrónico Up/Down, BCD, programable ( de 0 á 9)

Page 81: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN74191 Contador binario Sincrono Programable

74192 Contador sincrónico Up/Down BCD, programable (de 0 á 9)

74193 Contador sincrónico de 4 bits binarios, programable, Up/Down

Hay operación sincrónica cuando se tienen todos los flip-flops "clocked”.. Simultáneamente, de tal forma que sus salidas cambien al mismo tiempo cuando lo requiera el proceso lógico director. suministren los datos simultánea y paralelamente en las salidas Q3 , Q2, Q1, y Q0

ejemplo 41 de aplicación

Ejemplo 41: Con un contador comercial diseñar un circuito secuencial que cuente en binario en base 8.es decir del 0000 al 0111.

SOLUCION:

Escogemos el contador 74192, en figura 1 para el ejemplo 41 se muestrala tabla de su modo de operación,

Para hacer el circuito contador de 0000 a 0111, es necesario que el contador este en modo “UP” que inicie en 0000 y que al llegar la cuenta a 0111 el contador se ponga a cero “reset” y siga contando. Para lograrlo se requiere únicamente que se ponga un circuito con una compuerta AND de 4 entradas como detector de 0111 a la salida del contador y que se envíe su salida a la entrada CLR del contador. Es importante observar que la señal de reset debe ser un pulso positivo para permitir que el contador no detenga la cuenta esto se logra pues al detectar el circuito la entrada 0111 se genera un pulso HIGH e inmediatamente se “resetea” el circuito permitiendo que el contador siga su cuenta. Para poder observar la cuenta se usa un reloj de 1 pulso por segundo. El circuito completo del contador se deja como ejercicio al alumno.

ejemplo 42 de aplicacion

Con un contador diseñar un circuito que cuente en forma descendente de 1100 a 0000, que tenga una entrada E1 de inicio, que en cualquier momento de la

Page 82: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANcuenta esta se pueda suspender con una entrada E2 y reiniciar en 1100, pero si la cuenta llagara a 0000 el contador se detenga.

Solucion

Seleccionamos el contador binario sincrono programable 74193, su tabla de modo de control se muestra en la figura 1 ejemplo 42.

Y ademas usamos el circuito 7474 que es un FF tipo D doble con “preset” y “clear”. su tabla de modo de control se muestra en la figura 2 ejemplo 42.

La entrada E2 para suspender se genera con un circuito de “push buton” de tal manera que a su salida normalmente tenga el valor lógico de 1 y solo cuando se accione el interruptor W1 se produzca un valor lógico de 0, esta señal es conectada en LD’ , lo que provocara que cada vez que se accione el contador se pondrá en el inicio con el dato de 1100 que se deberá poner permanentemente en las entradas D, C, B, y A

Usamos el primer FF con entrada de inicio E1 en la entrada “clear” en este mismo circuito conectamos a la entrada “preset” la salida de un cicuito detector de ceroEl circuito completo del circuito se deja al alumno como ejercicio.

3.3 Circuitos de Aritmética.

CIRCUITOS ARITMÉTICOS.

Representación de números con signo. Representación en signo-magnitud. Representación en complemento a uno. Representación en complemento a dos. Aritmética de sumas y restas en las diferentes representaciones. Sumadores y restadores de un bit. Semisumador y sumador completo. Semirrestador y restador completo. Sumadores y restadores de múltiples bits en paralelo. Sumador y restador binario. La propagación del acarreo: generadores de acarreo anticipado. Sumador-restador en signo-magnitud. Sumador BCD. Sumadores y restadores de múltiples bits en serie: el registro acumulador. Unidades aritmético-lógicas. Multiplicación y división binaria.

Circuitos Aritméticos

El diseño de sistemas digitales involucra el manejo de operaciones aritméticas. En esta lección se implementarán los circuitos de suma y resta de números binarios.

Page 83: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

3.3.1 Sumadores.

Sumador Medio

El circuito combinacional que realiza la suma de dos bits se denomina sumador medio. La figura 3.17 muestra el símbolo lógico de sumador medio. En el circuito las entradas son A y B y la salida S corresponde a la suma y Cout al acarreo de salida (Ver lección 1.4.).

figura 3.17 Símbolo lógico del sumador medio

La tabla de verdad tabla 3.6 está dada por las reglas de la suma binaria.

X Y Cout S

0 0 0 0

0 1 0 1

1 0 0 1

1 1 1 0

Tabla 3.6 De verdad del sumador medio

La salida obtenida a partir de la tabla de verdad es:

X + Y = Cout S

El bit de acarreo Cout es 1, sólo cuando A y B tienen el valor de 1; por tanto entre A y B se puede establecer una operación AND:

Cout = A·B

Page 84: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEl bit de suma S es 1, sólo si las variables A y B son distintas. El bit de acarreo es 0 a no ser que ambas entradas sean 1. Por consiguiente, la salida S puede expresarse en términos de la operación OR – Exclusiva:

S = A’·B + A·B = A B

El circuito se muestra en la figura 3.18

3.18 Circuito Lógico del Sumador Medio.

Sumador Completo

El sumador completo acepta dos bits y un acarreo de entrada y genera una suma de salida junto con el acarreo de salida. La tabla 3.9.2. muestra la tabla de verdad del sumador completo. Las entradas A, B y Cin denotan al primer sumando, el segundo sumando y el acarreo de entrada. Las salidas S y Cout representan a la suma y el acarreo de salida.

A B Cin Cout S

0 0 0 0 0

0 0 1 0 1

0 1 0 0 1

0 1 1 1 0

1 0 0 0 1

1 0 1 1 0

1 1 0 1 0

Page 85: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

1 1 1 1 1

Tabla3.7 De verdad del sumador completo

La salida S en la tabla de verdad corresponde a la operación OR- Exclusiva:

S = A·B’·Cin’ + A’·B·Cin’ + A·B·Cin + A’·B’·Cin

S = Cin’·(A·B’ + A’·B) + Cin ·(A·B + A’·B’)

S = Cin’·(A·B’ + A’·B) + Cin ·(A’·A + A’·B’ + A·B + B·B’)

S = Cin’·(A·B’ + A’·B) + Cin ·((A’ + B)·(A + B’))

S = Cin’·(A·B’ + A’·B) + Cin ·((A·B’)’·(A’·B)’)

S = Cin’·(A·B’ + A’·B) + Cin ·(A·B’ + A’·B)’

S = (A B)Cin

El mapa de karnaugh de la salida Cout se muestra en la figura 3.19

figura 3.19Mapa para la salida Cout de un Sumador Completo.

La salida Cout está dada por:

Cout = A·B + A·Cin + B·Cin

El circuito se muestra en la figura 3.20.

Page 86: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

3.20 Circuito Lógico del Sumador Completo.

3.3.2. Restador.

Restador

En la diferencia, cada bit del sustraendo se resta de su correspondiente bit del minuendo para formar el bit de la diferencia. El préstamo ocurre cuando el bit del minuendo es menor al bit del sustraendo, de tal forma que se presta un 1 de la siguiente posición significativa.

La resta se implementa mediante un sumador. El método consiste en llevar al minuendo a una de las entradas y el sustraendo en complemento 2 a la otra entrada.

Restador Medio

El circuito combinacional que realiza la resta de dos bits se denomina Restador medio. El circuito tiene dos entrada binarias y dos salidas. La figura 3.21

Page 87: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANmuestra el símbolo lógico de Restador medio. En el circuito las entradas son A(minuendo) y B(sustraendo) y la salida D corresponde a la diferencia y P al préstamo de salida.

figura 3.21 Símbolo Lógico del Restador Medio.

Si AB, existen tres posibilidades 0-0=0, 1-0=0 y 1—1=1. El resultado es el bit de diferencia D. Si A<B se tiene 0-1 y es necesario prestar un 1 de la siguiente posición significativa de la izquierda. El préstamo agrega 2 al bit del minuendo de manera similar cuando en el sistema decimal se agrega 10 al dígito del minuendo.

La tabla de verdad 3.8 está dada por las reglas de la resta binaria.

A B P D

0 0 0 0

0 1 1 1

1 0 0 1

1 1 0 0

Tabla 3.9 De verdad del Restador medio.

La salida D coincide con la operación OR- Exclusiva y se puede expresar de la siguiente forma:

D = A’·B + A·B’

La salida P está dada por la suma de productos de los términos presentes en el renglón 2 de la tabla de verdad:

P = A’·B

Page 88: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANEl circuito se muestra en la figura 3.22

figura 3.22 Circuito Lógico del restador medio.

Restador Completo

El Restador completo realiza la resta entre dos bits, considerando que se ha prestado un 1 de un estado menos significativo. En la tabla 3.9. las entradas A, B y C denotan el minuendo, el sustraendo y el bit prestado. Las salidas D y P representan a la diferencia y el préstamo.

A B C P D

0 0 0 0 0

0 0 1 1 1

0 1 0 1 1

0 1 1 1 0

1 0 0 0 1

1 0 1 0 0

1 1 0 0 0

1 1 1 1 1

Tabla 3.9 De verdad del Restador Completo.

En las combinaciones del mapa donde C=0, se tienen las mismas condiciones para el sumador medio. El resto de condiciones se vieron en la lección 4 del capítulo 1.

Page 89: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANLa función de la salida D de un restador es la misma que la salida de un sumador completo:

D = A’·B’·C + A’·B·C’ + A·B’·C’ + A·B·C = (A B) Cin

El mapa de karnaugh de la salida P se muestra en la figura 3.23

Figura 3.23. Mapa para la salida P de un restador completo

La salida P está dada por:

P = A’·B + A’·C + B·C

El circuito se muestra en la figura 3.20

figura 3.20 Diagrama lógico de un restador completo

Page 90: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

3.3.3 UAL, Unidad Aritmética Lógica.

Unidad Aritmética y Lógica (ALU)

Una unidad aritmética lógica puede realizar un conjunto de operaciones aritméticas básicas y un conjunto de operaciones lógicas, a través de líneas de selección. En inglés ALU significa Arithmetic Logic Unit (Unidad Aritmética Lógica). La figura 3.24. muestra el diagrama de bloques de una ALU.

figura 3.24 Diagrama de bloques de una ALU

Las cuatro entradas de A se combinan con las de B generando una operación de salida de cuatro bits en F. La entrada de selección de modo S2 distingue entre las operaciones aritméticas y lógicas. Las entradas de selección S0 y S1 determinan la operación aritmética o lógica. Con las entradas S0 y S1 se pueden elegir cuatro operaciones aritméticas (con S2 en un estado) y cuatro logicas (con S2 en otro estado). Los acarreos de entrada y salida tienen sentido únicamente en las operaciones aritméticas. El diseño de una ALU implica el diseño de la sección aritmética, la sección lógica y la modificación de la sección aritmética para realizar las operaciones aritméticas y lógicas.

Sección Lógica

Los datos de entrada en una operación lógica son manipulados en forma separada y los bits son tratados como variables binarias. En la tabla tabla 3.10.

Page 91: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANse listan cuatro operaciones lógicas OR, OR - Exclusiva, AND y NOT. En el circuito, las dos líneas de selección (S1, S0) permiten seleccionar una de las compuertas de entrada, correspondientes a la función Fi .

S1 S0

Salida Función Fi

0 0 F=Ai+Bi OR

0 1 F=AiBi XOR

1 0 F=Ai·Bi AND

1 1 F=A'i NOT

Tabla 3.10 Tabla de Función Lógica.

El circuito lógico es una etapa de un circuito lógico de n bits.

figura 3.25 Diagrama lógico de un circuito lógico de una ALU

Sección Aritmética

El componente básico de la sección aritmética es un sumador en paralelo Las operaciones aritméticas configuradas en el circuito aritmético se presentan en la tabla 3.12 En una ALU, la suma aritmética se puede implementar con un número

Page 92: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANbinario en A, otro número en la entrada B y el acarreo de entrada Cin en un valor lógico 0. El resto de las funciones se enuncian en la columna descripción.

Selección de Función

Salida N Función Descripción

S1 S0 Cin  N F  

0 0 0 0 A Transferir A

0 0 1 0 A+1 Incrementar A

0 1 0 B A+B Suma ó agregar B a A

0 1 1 B A+B+1 Suma con accarreo ó agregar B a A más 1

1 0 0 B’ A+B’ Agregar el complemento de 1 de B a A

1 0 1 B’ A+B’+1 Agregar el complemento de 2 de B a A

1 1 0 Todos unos

A-1 Decrementar A

1 1 1 Todos unos

A Trasferir A

Tabla 3.12 De la funciones de un Circuito Aritmético

La implementación de las funciones anteriores por medio de un circuito lógico sencillo se describe a continuación. El circuito se diseña bajo el precepto de

intervenir cada entrada Bi para obtener las siguientes funciones:

S1 S0 Ni

Page 93: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

0 0 0

0 1 Bi

1 0 Bi'

1 1 1

Tabla 3.13 Tabla del circuito para la entrada Bi

La figura muestra el circuito.

figura 3.26 Circuito para la tabla 3.13

Por medio de estas funciones se pueden lograr las funciones de la tabla 3.12 al agregar el número Ni (tabla 3.13) a la entrada A a través de un sumador en paralelo para cada etapa, teniendo en cuenta el valor de la entrada Cin. El circuito combinacional aritmético se muestra en la figura 3.14. En la figura 3.26a., la entrada A se denomina Mi en el sumador completo.

Page 94: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 3.26a Circuito aritmético

Diseño de una Unidad Aritmética Lógica

En el diseño de una ALU se deben seguir los siguientes pasos:

1. Diseñar la sección aritmética independientemente de la sección lógica.2. Determinar las operaciones lógicas del circuito aritmético, asumiendo que los acarreos de salida de todas las etapas son 0.

3. Modificar el circuito aritmético para obtener las operaciones lógica requeridas.

El diseño simple de una ALU se hace utilizando el sumador completo para generar las operaciones lógicas de la unidad. Por lo tanto es necesario introducir una variable de control adicional (S2), con el fin de seleccionar entre las operaciones lógicas y aritméticas. En este diseño, un valor S2 = 1 hace que el circuito efectúe operaciones lógicas. Recordando la salida de un sumador completo:

F = (Ai Bi)Cin

Page 95: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANA partir de esta ecuación, es posible obtener la función lógica requerida, utilizando la debida manipulación lógica. La función requerida se expone en la tabla

S2 S1

S0 Ai Bi Cin Operación Sumador Completo

Función requerida Fi

Manipulación Salida

10 0

Ai

0 0 Ai OR Aplicar una función

OR Ai + Bi Ai+Bi

10 1

Ai

Bi 0 Ai Bi

XOR Ninguna

AiBi

11 0

Ai

Bi' 0 Ai·Bi

AND Aplicar una función

OR Ai + Bi' Ai·Bi

11 1

Ai

1 0 A'i

NOT Ninguna

A'i

Tabla 3.14 Tabla de obtención de las funciones lógicas con un sumador completo

Partiendo de la tabla 3.12.4., las entradas Mi, Ni y Cini en un sumador completo, son equivalentes a las siguientes expresiones:

Mi = Ai + S2·S1'·S0'·Bi + S2·S1·S0'·Bi'

Ni = S0·Bi + S1·Bi'

Cini = S2'·Ci

La figura 3.27 muestra el diagrama de la unidad aritmética lógica de dos etapas.

Page 96: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 3.27 Diagrama lógico de una ALU

Las doce operaciones generadas en el ALU se resumen en la tabla 3.15 la función en particular se selecciona a través de S2, S1, S0 y Cin. Las operaciones aritméticas son las mismas del circuito aritmético.

Selección Salida F Descripción

S2 S1 S0 Cin F  

0 0 0 0 A Trasferir A

0 0 0 1 A+1 Incrementar A

0 0 1 0 A+B Suma

0 0 1 1 A+B+1 Suma con accarreo

0 1 0 0 A-B-1 Resta con préstamo

Page 97: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

0 1 0 1 A-B Sustracción

0 1 1 0 A-1 Decrementar A

0 1 1 1 A Transferir A

1 0 0 X A+B OR

1 0 1 X A B OR-Exclusiva

1 1 0 X A·B AND

1 1 1 X A’ Complementar A

Tabla 3.16 Tabla de verdad de una ALU

Ejemplo 42

Se deja al estudiante trabajo de investigación documental acerca del desarrollo histórico de las ALU

.UNIDAD IV Circuitos Secuenciales

OBJETIVOS PARTICULARES DE LA UNIDAD

Al término de la unidad el alumno:- Interpretará conceptualmente los principios de memoria diferenciándola de la

evocación para comprender sus funciones de almacenamiento y retención, así como la representación de datos, su agrupamiento y dimensiones, los cuales requieren que se describan en términos de lógica secuencial.

CIRCUITOS SECUENCIALES

Los circuitos secuénciales, de la misma forma que los combinacionales, están constituidos por puertas lógicas, y como en estos últimos, la escala de integración de la mayoría de los circuitos disponibles por los fabricantes en es la MSIEl concepto de circuito secuencial es una expresión que se aplica a aquellos circuitos lógicos en los que sus valores en el estado presente dependen del

Page 98: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANestado anterior y a su vez condicionan los del estado siguiente. Lo que se ilustra en la figura 4.1

Figura 4.1 Estados para un circuito secuencial

Expresado de otra forma:

Los circuitos secuenciales son aquellos en que los valores de las salidas depende del valor de las entradas y del estado anterior.

Es importante señalar que los valores que podemos observar y medir siempre serán únicamente los del estado presente.

Según su funcionamiento existen dos tipos de circuitos secuencial; Asíncronos, los que no dependen de una señal o reloj de sincronía y los Sincronos, los que si dependen de una señal o reloj de sincronía.

4.1.2 Flip-flops, contadores y registros.

Como ya se dijo el circuito fundamental en que se basa la construcción de circuitos secuenciales es el Flip-flop o circuito basculante.

Existen tres circuitos clasificados según la forma en que retienen o memorizan el estado que adoptan sus salidas, estos son...

*Circuitos Monoestables: Estos circuitos cambian de estado sólo si se mantiene la señal de entrada (nivel alto o bajo), cuando ésta se quita, la salida regresa a su estado anterior, es decir poseen un sólo estado estable y otro metaestables.

*Circuitos Astables o Aestables: Son circuitos gobernados por una red de tiempo R-C (Resistencia-Capacitor) y un circuito de realimentación, a diferencia de los anteriores se puede decir que no poseen un estado estable sino dos metaestables

*Circuitos Biestables o Flip-Flop (FF): Son aquellos que cambian de estado cada vez que reciben una señal de entrada (ya sea nivel bajo o alto), es decir

ANTERIOR PRESENTE SIGUIENTE

Page 99: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANretienen el dato de salida aunque desaparezca el de entrada, poseen dos estados estables.

Flip-flop asincronos

Son aquellos circuitos que carecen de impulso de reloj y, por lo tanto, la salida basculara en la medida en que cambien las entradas.

En la unidad 3 ya se han tratado desde el punto de vista de construcción de los circuitos a los flip-flops,, en este apartado se hará desde la perspectiva de la aplicación, en el diseño de circuitos secuenciales.

Flip –flop RS

Dispositivo de almacenamiento temporal de dos estados (alto y bajo), cuyas entradas principales, R y S, a las que debe el nombre, permiten al ser activadas:

R: La puesta a cero (reset en inglés), pone a 0 ó a nivel bajo la salida. S: El poner a uno (set en inglés), pone a 1 ó a nivel alto la salida.

Si no se activa ninguna de las entradas, el biestable permanece en el estado que poseía tras la última operación de borrado o grabado.

En ningún caso deberían activarse ambas entradas a la vez, pues no se podría determinar el estado en el que quedaría la salida.

Su tabla de verdad es la siguiente (Q representa el estado actual de la salida y q el estado anterior a la última activación):

Tabla de verdad biestable RS

R S Q Q’

0 0 X X.

Page 100: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

0 1 0 1

1 0 1 0

1 1 X. X

X.= Estado no determinado

Tabla 4.1 Tabla de excitación del FF RS

Flip – flop D

Dispositivo de almacenamiento temporal de dos estados (alto y bajo), cuya salida adquiere el valor de la entrada D cuando se activa la entrada de sincronismo de relog.

La ecuación característica del biestable D que describe su comportamiento es:

Q siguiente= D

y su tabla de verdad:

Tabla 4.2 Tabla de excitación flip-flop D

De la tabla de excitación se puede observar que el estado siguiente es un reflejo del valor de la entrada D, por lo que también se le denomina comúnmente como Flip-flop espejo.

D Q Qsiguiente

0 X 0

1 X 1

X=no importa

Page 101: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Biestable JK

Es un dispositivo de almacenamiento temporal de dos estados (alto y bajo), cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser activadas:

J: Puesta a uno (set en inglés), pone a 1 ó nivel alto de la salida. K: limpiado o puesta a cero (reset en inglés), pone a 0 ó nivel bajo de la

salida.

Si no se activa ninguna de las entradas, el biestable permanece en el estado que poseía tras la última operación de borrado o grabado. A diferencia del biestable RS, en el caso de activarse ambas entradas a la vez, la salida adquirirá el estado contrario al que tenía.

La ecuación característica del biestable JK que describe su comportamiento es:

Q siguiente = JQ’+K’Q

Y su tabla de verdad es:

J K Q(t+1)

0 0 Q(t) Sin cambio

0 1 0 Restablecer

1 0 1 Estableser

1 1 Q’(t)Complementar

Tabla 4.3 Tabla de excitación del FF tipo JK

Flip/flop JK activo por flanco

Page 102: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANJunto con las entradas J y K existe una entrada C de sincronismo o de reloj cuya misión es la de permitir el cambio de estado del biestable cuando se produce en el un flanco de subida o de bajada, según sea su diseño. Su denominación es J-K Flip-Flop disparado por flanco.

Flip-Flop tipo T

Símbolo normalizado: Biestable T activo por flanco de subida.

Dispositivo de almacenamiento temporal de dos estados (alto y bajo). El FF T cambia de estado (“toggle” en inglés) cada vez que la entrada de sincronismo o de reloj se dispara. Si la entrada T está a nivel bajo, la báscula retiene el nivel previo. Puede obtenerse al unir las entradas de control de un biestable JK, unión que se corresponde a la entrada T.

La ecuación característica del biestable T que describe su 102omportamiento es:

Q siguiente= T o bien Q

y la tabla de verdad:

Tabla 4.3 Tabla de excitación del FF tipo T

Unos de los circuitos secuenciales mas comúnmente empleados por sus múltiples aplicaciones son los circuitos contadores y los circuitos de registros

T Q Qsiguiente

0 0 0

0 1 1

1 0 1

1 1 0

Page 103: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANCONTADORES

Un contador es un circuito secuencial de aplicación general, cuyas salidas representan en un determinado código el número de pulsos que se presentan a la entrada.

Están constituidos por una serie de flip-flops conectados entre si de modo que las salidas de estos cambian de estado cuando se aplican impulso. a la entrada.(para un estudio detallado de estos circuitos se recomienda el libro de R Tocci Sistemas Digitales, principios y aplicaciones, Prentice Hall 8ª Edicion 2004)

La capacidad de un contador es el número mas elevado, expresado en cualquiera de los códigos binarios, que puede ser representado en sus salidas es decir pueden ser Binarios, decimal o modulo M

En los contadores binarios el número de estados es múltiplo de dos, decimales el número de estados es múltiplo de 10, y módulo M se refiere a un número m de estados, en otras palabras a la base M en que se desea que cuente.

Cuando el contador llega al valor máximo de su capacidad, comienza a contar de nuevo desde cero al aplicarle el siguiente impulso.

Dependiendo del modo de operación, los contadores pueden ser ascendetes si su cuenta se incrementa con cada impulso, descendentes, si su cuenta disminuye.

Por otro lado, los contadores se dividen en sincronos y asíncronos. Los primeros, son aquellos en los que los pulsos de reloj se aplican simultáneamente a todos los biestables, y por tanto, todas las salidas cambian la mismo tiempo.

En los asíncronos, la señal de reloj se aplica a la entrada del primer biestable, la salida de éste a la entrada de reloj del siguiente, y así sucesivamente el tiempo de propagación de estos dispositivos, es superior al de los síncronos (la señal tiene que pasar por todos los bits menos significativos hasta llegar a un determinado bit).

Además en todos los casos anteriores, la cuenta no tiene por qué empezar a terminar en 0, el diseño de contadores síncronos se hace de igual forma que para cualquier circuito secuencial.

Page 104: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

REGISTROS

Son dispositivos para el almacenamiento de información binaria.

Existen diversos tipos de registros, dependiendo de la función que desarrollenRegistros de almacenamiento simple. Su funcion es basicamente la de almacenar una información.

Registros de conversión serie-paralelo. Son registros que realizan la conversión de datos que accede a ellos en serie, a un formato en paralelo

Registros conversion paralelo-serie. Son registros que realizan la función inversa a los anteriores, es decir, los datos que accede a ellos en paralelo, le dan formato serie

Registros de desplazamiento. Son registros que permiten desplazamiento de la información que almacenan También suelen servir para las funciones anteriores

En la unidad III anterior se hicieron ejemplos con contadores comerciales, en muchas ocasiones se requiere de un circuito que cuente en una secuencia en forma particular o que se requiera diseñar el circuito empleando compuertas de FF y no precisamente circuitos contadores comerciales. Para efectuar el diseño se requiere del concepto de diagrama de estados, tema que se trata en el siguiente apartado.

4.2 Procesos y máquinas secuenciales.

MÁQUINAS DE ESTADO DE MEALY Y MOORE

Los circuitos secuenciales se clasifican dentro de una categoría conocida como máquinas de estado, de la cual se distinguen comúnmente dos tipos:

Máquina de Mealy: En esta máquina de estados las salidas se encuentran determinadas por el estado interno del sistema y por las entradas no sincronizadas con el circuito. El diagrama de bloques representativo de esta máquina se muestra en la el circuito. El diagrama de bloques representativo de esta máquina se muestra en la figura donde se observa que las salidas del sistema son tanto sincrónicas como asincrónicas.

Page 105: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 4.3 Maquina de estados de Mealy

Máquina de Moore: Las salidas solo dependen del estado interno y de cualquier entrada sincronizada con el circuito, las salidas del sistema son únicamente sincrónicas. Un ejemplo de este tipo de máquinas de estado son los contadores.

figura 4.4 Maquina de estados de Moore

MAQUINA DE ESTADOS DE MOORE

Se distinguen dos tipos circuitos secuenciales sincronos y asíncronosLos síncronos, requieren una señal de control procedente de un generador externo al propio circuito, que funciona, de modo que si no se aplica dicha señal no se hacen efectivos los valores presentes en las entradas. Este método se emplea cuando el sistema electrónico es complejo y los tiempos de conmutación de los diversos dispositivos que lo constituyen son distintos . La señal de control, también denominada reloj (Clock, o Clock Pulse), se aplica a las entradas del mismo nombre de cada bloque integrado para sincronizar la transmisión de datos o información a través del sistema. La frecuencia de la señal eléctrica debe adaptarse a la velocidad de conmutación del dispositivo más lento del circuito.En cambio, los sistemas secuenciales asíncronos no poseen entrada de reloj, y los cambios en las variables de estado interno y los valores de salida se producen al variar los valores de las entradas del circuito.

Page 106: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

DIAGRAMAS DE ESTADO

Un diagrama de estados es una representación gráfica que indica la secuencia de los estados que se presentan en un circuito secuencial, teniendo en cuenta las entradas y salidas. El diagrama se forma con círculos y líneas. Los circulos representan los estados del circuito secuencial y cada uno de ellos contiene un número que identifica su estado. Las líneas indican las transiciones entre estados y se marcan con dos números separados por un (/), estos dos números corresponden a la entrada y salida presentes antes de la transición.

figura 4.5 diagrama de estados

TABLAS DE ESTADO

Una tabla de estado es un listado que contiene la secuencia de los estados de entradas, estados internos y salidas del sistema, considerando todas las posibles combinaciones de estados actuales y entradas. Las tablas de estado por lo general se dividen en cinco partes: estado presente, entradas, estado siguiente, salidas y excitacion.

Estado presente Entrada Estado siguiente Salidas Excitación

A B X A B Y DA DB

0 0 0 0 0 0 0 0

0 0 1 0 1 0 0 1

Page 107: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

0 1 0 0 0 0 0 0

0 1 1 1 1 1 1 1

1 0 0 0 0 0 0 0

1 0 1 1 0 1 1 0

1 1 0 0 0 0 0 0

1 1 1 1 0 1 1 0

Figura 4.6 Tabla de estado

La variables del estado presente mas las de entrada definen el número de combinaciones posibles, esto es:

La tabla de estado para un circuito secuencial con m flip-flops y n entradas tiene 2m+n filas. El estado siguiente tiene m columnas, y el número de columnas depende del número de salidas.

Las ecuaciones del circuito se establecen con los valores de las columnas de salidas y excitación que dependen del flip flop a emplear.

4.3 Diseño de circuitos sincronos de lógica secuencial.

1.DISEÑO DE CIRCUITOS

Para la realización de un circuito secuencial es conveniente seguir los cuatro pasos siguientes:

Page 108: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

1. Definición del problema.

2. Establecer el diagrama de estados que de solución al problema.

3. Construir Tabla de Verdad de diagrama propuesto y realizar la reducción de ecuaciones.

4. Dibujar el diagrama esquemático del circuito lógico

1.Definición del problema. En este punto conviene definir y entender perfectamente el problema propuesto, para ello , como resultado final de este punto tendremos definidos

Si existen valor inicial y valor finalEs decir si el circuito deberá iniciar en algún valor determinado o si también tendrá un valor en que termine la secuencia

Numero de entradas de controlSe refiere a que si el sistema tendrá señales de entrada que dependiendo de su valor modifiquen la secuencia que el circuito deberá ejecutar, es importante tener en cuenta que Una sola entrada tiene dos valores diferente es decir para la entrada unica E1 se tendra E1=0 y E1= 1.Para dos entradas E1 y E2 se tendrán 4 valores; 00, 01, 10, y 11

Numero de Estados requeridoSe refiere a cuantos estados resuelven el problema propuesto

Numero de FF a emplearDeterminar el Numero de FF necesario para generar los estados, se determina con la relacion 2No de FF ≥ No de Estados.

Por ejemplo para cuatro estados, sera el No de FF = 2, pues 22 ≥4.Para 9 estados se requieren 4 FF, pues 24≥9.

Numero de bits salidasEs definir el numero bits que permitirán tener la salida requerida.Por ejemplo para una secuencia que tenga como numero mayor 111101 se requieren 6 bits de salida.

Determinar si será una maquina de estados tipo More o MealyFinalmente conviene definir con que tipo de maquina de estados se propondrá la solución. Comentario: es posible emplear los dos tipos de

Page 109: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

maquina para resolver un mismo problema, el decidir cual conviene, depende del contexto general de donde se desprende el problema a resolver. Ilustrarlo queda fuera del propósito de este polilibro.

2. Establecer el diagrama de estados que de solución al problema.

Dependiendo del tipo de maquina a emplear se propone una solución en diagrama de estados, se deberá verificar a detalle y cubriendo todas las posibilidades posibles que verdaderamente sea una solución al problema a resolver. Tener en cuenta que si se tienen por decir 2 entradas de control cada estado deberá tener cuatro posibles salida hacia el mismo u otro estado. También existen técnicas para reducir estados redundantes o repetidos, se recomienda ver el libro de R. TOCCI o el de T. Floyd señalados en la bibliografía.

3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reducción de ecuaciones.

A partir del diagrama de estados se obtiene la información para determinar la tabla de verdad, llenando los campos que se proponen. En la figura se muestra una tabla de estados para 2 FF y una entrada E1

con un solo bit de salida Y y empleando FF tipo D

Estado presente Entrada Estado siguiente Salidas Excitación

A B E1 A B Y DA DB

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

Page 110: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

1 1 0

1 1 1

Debemos notar que las columnas de estado presente y entrada definen el tamaño de la tabla, para este ejemplo es 23 por tener 2 estados + 1 bit de salida.

Las ecuaciones que se buscan las definen las columnas de salidas Y y excitación DA y DB

4.- Dibujar el diagrama esquemático del circuito lógico

Se recomienda que en el dibujo esquemático, además de dibujar las compuertas con su símbolo, se indiquen los números correspondientes de la compuerta a utilizar.

El procedimiento de diseño se ilustra con los siguientes ejemplos:

Ejemplo 43 Diseñar un circuito contador que siga la secuencia … 0, 2, 4, 8,… que no tenga valor inicial ni valor final.

SOLUCION:

Para este problema en particular en que se requiere generar la secuencia 0,2,4,8 en binario, tendremos:

Si existen valor inicial y valor final R.- no existen Numero de entradas de control R.- no existen Numero de Estados requerido R.- se necesitan 4 estados, para generar cada uno de los valores de 0, 2, 4 y 8 Numero de FF a emplear R.- se usaran 2 FF para generar los 4 estados necesarios. Numero de bits salidas R.- Se definen X, Y, Z, y W como bits de salida

Para poder tener el mayor numero 810 = (1 0 0 0)2

Determinar si será una maquina de estados tipo More o Mealy

Page 111: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

R.- Para este ejemplo se propone usar una maquina tipo More, puesto que la salida esta directamente relacionada con el estado.

2.- Diagrama de estados (maquina de Moore)

3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reducción de ecuaciones. Para este ejemplo la tabla de estados no contiene la columna de entradas por lo tanto el tamaño de la tabla sera de 22

Estado presente Estado siguiente Salidas Excitación

A B A B X Y Z W DA DB

0 0 0 1 0 0 0 0 0 1

0 1 1 0 0 0 1 0 1 0

1 0 1 1 0 1 0 0 1 1

1 1 0 0 1 0 0 0 0 0

Figura del ejemplo 43. Tabla de verdad

Del diagrama se observa que del estado 00 se va al 01 y que su salida es 0000, del estado 01 se va al 10 con salida 0010, del 10 al 11 con 0100 de salida y finalmente del 11 se va al 00 con salida 1000. lo que se refleja en la tabla.Finalmente en la columna de excitación se contempla el uso de FF tipo D por lo que para DA y DB se pondrán los valores de A y B de la columna del estado

Page 112: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANsiguiente, pues recordemos que a los FF tipo D se les conoce también como de espejo.Las ecuaciones que se buscan son X = AB Z = A’B Y = AB’ W = 0DA = A’B + AB’DB = A’B’ + AB

Ejemplo 43b; Diseñar circuito en donde si la entrada es 1 se muestre la secuencia: …8,4,2,1… y si la entrada es = 0 se muestre la secuencia … 1,2,4,8…

SOLUCION

1. Definición del problema.

Si E= 1 entonces mostrar …8,4,2,1…

E= 0 entonces mostrar …1,2,4,8,…

No existe valor inicial ni valor final.Numero de entradas = 1 = E Numero de salidas = 4 = X, Y, Z, WNumero de estados = 4 por tanto el No de FF = 2 = A, B

2. diagrama de estados (maquina de Mealy)

Page 113: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Figura 4.7 Diagrama de estados

3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reducción de ecuaciones

Figura 4.8 Tabla de

verdad

Estado presente Entrada Estado siguiente Salidas Excitación

A B E A B X Y Z W DA DB

0 0 0 0 1 0 0 0 1 0 1

0 0 1 1 1 0 1 0 0 1 1

0 1 0 1 0 0 0 1 0 1 0

0 1 1 0 0 1 0 0 0 0 0

1 0 0 1 1 0 1 0 0 1 1

1 0 1 0 1 0 0 0 1 0 1

1 1 0 0 0 1 0 0 0 0 0

1 1 1 1 0 0 0 1 0 1 0

Page 114: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

X = ∑(3,6) DA = ∑(1,2,4,7)Y = ∑(2,4) DB = B’Z = ∑(2,7)W = ∑(0,5

Figura 4.7Diagrama esquemático

DA

74174

DB

74174

1247

A

A’

B’

B

CP

X

36

74138

+5

Y

2436 Z

2736

W

0536

012-345-6-7

A

B

E

Page 115: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

CP

Ejemplo 43 C Diseñar un circuito secuencial con una entrada W sincronizada a la señal de reloj, que acepte la secuencia 0011 y que cada vez que la detecte, su salida se Q ponga en ALTO y regrese a condiciones iniciales para detectar de nuevo la secuencia.

1. Definición del problema.

A como se van presentando los valores de 0 o 1 en la entrada W, se “generara” una secuencia, digamos 00001100101110011011000100, en donde se desea detectar cuando ocurra 0011 poniendo en ALTO la salida Q y reestableciendo las condiciones iniciales a fin de detectar nuevamente la ocurrencia de otra secuencia.

2.- Diagrama de estados este ejercicio se puede resolver ya sea con la a).- maquina de Mealy o con b).-Maquina de Moore

a).- maquina de Mealy

Page 116: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Figura 1 para ejemplo 43 C: Detector de secuencia 0011 (maquina de Mealy) 3.- Construir Tabla de Verdad de diagrama propuesto y realizar la reducción de

ecuaciones

Las

ecuaciones son;

Estado presente Entrada Estado siguiente Salidas Excitación

A B W A B Q DA DB

0 0 0 0 1 0 0 1

0 0 1 0 0 0 0 0

0 1 0 1 0 0 1 0

0 1 1 0 0 0 0 0

1 0 0 1 0 0 1 0

1 0 1 1 1 0 1 1

1 1 0 0 1 0 0 1

1 1 1 0 0 1 0 0

Page 117: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Q = ABW ∑(7) DA = A’BW’ + AB’W’ + AB’W = ∑(2,4,5) DB = A’B’W’ + AB’W + ABW’= ∑(0,5,6)

b).- maquina de Moore

En el modelo de Moore fig 2. la salida está en el mismo estado por eso se genera un estado más que en la maquina de Mealy, además de que después de detectar la secuencia correcta 0011 en E4, la entrada siguiente es considerada como el primer dato

Page 118: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

figura 2 del ejemplo 43 C. Detector de la secuencia 0011 (maquina de Moore)

Estado presente Entrada Estado siguiente Salidas Excitación

A B C W A B C Q DA DB DC

0 0 0 0 0 0 1 0 0 0 1

0 0 0 1 0 0 0 0 0 0 0

0 0 1 0 0 1 0 0 0 1 0

0 0 1 1 0 0 0 0 0 0 0

0 1 0 0 0 1 0 0 0 1 0

0 1 0 1 0 1 1 0 0 1 1

0 1 1 0 0 1 0 0 0 1 0

0 1 1 1 1 0 0 0 1 0 0

1 0 0 0 0 0 1 1 0 0 1

1 0 0 1 0 0 0 1 0 0 0

1 0 1 0 0 0 0 0 0 0 0

1 0 1 1 0 0 0 0 0 0 0

1 1 0 0 0 0 0 0 0 0 0

1 1 0 1 0 0 0 0 0 0 0

1 1 1 0 0 0 0 0 0 0 0

1 1 1 1 0 0 0 0 0 0 0

Page 119: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANLas ecuaciones son

Q= ∑(8,9)

DA = ∑(7)

DB = ∑(2,4,5,6)

DC = ∑(0,5,8)

UNIDAD V COMPONENTES Y APLICACIONES.

OBJETIVOS PARTICULARES DE LA UNIDAD

Al término de la unidad el alumno:- Diferenciará funcionalmente y sus características de funcionamiento de las

familias de componentes lógicas más empleadas, así como la aplicación de los conocimientos adquiridos en un caso práctico

5.1 Componentes y aplicaciones. A los conjuntos de circuitos electrónicos formados por transistores y diodos, con los que se forman compuertas, y a su ves con estas, se construyen circuitos digitales complejos para realizar funciones específicas, todo ello dentro de un solo circuito integrado reciben el nombre genérico de Compuertas Lógicas y se agrupan de acuerdo a sus características eléctricas en Familias. Existe gran variedad de fabricantes de compuertas, se puede consultar la pagina www.xeltek.com que en su seccion “RESOURCE” “IC manufacturers” muestra una lista muy completa de fabricantes. Es necesario leer y entender de una forma practica las terminologías de las hojas de especificaciones de estos circuitos para analizarlos y emplearlos, pues los fabricantes tienen una gran cantidad de circuitos disponibles para aplicaciones diversas. Se recomienda el libro Sistemas digitales, principios y aplicaciones, capitulo 8 del autor Ronald J. Tocci para un estudio mas completo. El propósito de esta unidad se limitara a estudiar en las hojas de especificaciones lo concerniente a la tabla que muestra el modo de control u operación de los componentes y su aplicación en diseños sencillos.

Page 120: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

5.1.2 Familias TTL ,CMOS, INTEL y Motorola. La tecnología de los CI digitales a tenido un gran desarrollo: desde la integración en pequeña escala (SSI) con hasta 12 compuertas, la integración a mediana escala (MSI) con entre 12 a 99 compuertas por chip; la integración a gran y muy gran escala (LSI y VLSI) con decenas de miles de compuertas hasta las mas recientes con integración hasta de millones de compuertas (GSI).Por sus características eléctricas CI digitales se han agrupado en familias, de tal manera que en lo general solamente se pueden conectar entre si componentes de una misma familia, debiéndose emplear un circuito puente como interfase cuando se requieren conectar CI de diferentes familias, lo cual no siempre es factible.

En el uso de estas familias conviene tener presente los siguientes conceptos:

Compatibilidad de pines: Dos CI tienen compatibilidad de pines cuando los dos presentan configuraciones iguales de pines, es decir cada pin o patita tiene la misma función en ambos circuitos.Funcionalmente equivalentes: Dos circuitos son funcionalmente equivalentes cuando ambos realizan exactamente la misma función lógica, por ejemplo ambos son contadores binarios o tienen 6 compuertas inversoras, etc.

Eléctricamente compatibles: Dos CI son eléctricamente compatibles cuando se pueden conectar entre si, sin tomar ninguna medida especial para asegurar su correcto funcionamiento.

Familia TTLEste grupo se identifican con los números de inicio 74XXX, son las que se han venido empleando muy frecuentemente, su consumo de potencia es por ejemplo para un circuito de 4 compuertas NAND del orden de 10 mW que es alto pues para 10 CI de este tipo se requerirán 100 mW de potencia. Su manejo manual no requiere ningún cuidado en lo particular, estas compuertas tiene en lo general y únicamente como referencia las siguientes características eléctricas: Voltaje de alimentación Vcc = 5.0 ± 0.4 Volt, Corriente de salida promedio de 3 mA, Voltaje de salida nivel bajo VL≤ 0.5 Volt, Voltaje de salida nivel alto VH ≥ 2.5 volt, Voltaje de entrada nivel alto VH≥ 2 Volt, Voltaje de entrada nivel bajo VL≤ 1Volt, para conocer los valores reales se debe de consultar la hoja de datos del fabricante del CI en particular. Cabe señalar que dentro de la familia TTL existen diferentes subclases, por ejemplo 74SLXX, 74ASXX; con características especificas diferentes principalmente en lo que se refiere a consumo de potencia y velocidad de respuesta.

Famila CMOSLa familia CMOS de CI compite directamente con la familia TTL, en tipos de compuertas LSI y MSI, en equipos nuevos se usan cada vez mas estos tipos de

Page 121: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANcircuito, principalmente por su bajo consumo de potencia, que para un CI con 4 compuertas NAND requerirá del orden de solamente 0.000 010 mW o 10 nanoW que significa en términos prácticos un millón de veces menos el consumo de potencia que la familia TTL para un circuito similar, los que explica su amplio uso, sobre todo cuando se requiere usar baterías como fuentes realimentación. Al igual que con la otra familia existen subclases que muestran características eléctricas diferentes pero todas coinciden con su bajo consumo de potencia. La serie mas antigua es la que inicia con los números 40XXX la cual en lo general es de baja velocidad, actualmente la serie 74CXX y 74HCXX son compuertas de mayor velocidad sobre todo la serie HC que muestra valores hasta 10 veces más rápida en comparación de los dispositivos 74LS. Para su manejo manual se debe de tener la precaución de usar ropa de algodón y una pulsera antiestática que se pueda conectar a tierra.Únicamente como referencia, las características eléctricas de esta familia son:La serie 40XXX tiene un voltaje de alimentación entre 3 a 15 volt pero la serie 74CXX y la 74HCXX tienen un voltaje de alimentación entre 2 a 6 Volt. Los niveles de voltaje y corriente para la entrada y salida son diferentes para cada serie, conviene consultar la hoja de especificaciones del fabricante para cada circuito en particular.

Familias Intel y Motorola.Estas familias son de muy alta integración a gigaintegracion (USI a GSI) pues sus circuitos CI realizan funciones muy complejas como son memorias PROM, EEPROM, circuitos complejos de aplicación especifica FPGA, y circuitos programables como microprocesadores y microcontroladores, el estudio de estos circuitos esta fuera del alcance y propocito de esta unidad.

5.2 Diseño práctico de una aplicación y prototipo.

PONER LA APLICACIÓN DEL RELOG DE AJEDRES

Se presenta como ejemplo practico de aplicación el proyecto final que presentaron mis alumnos de la secuencia 1nm4 de la asignatura sistemas digitales I de Ingeniería informática, 1er semestre de agosto – diciembre de 2005:

PROYECTO FINALRELOG DIGITAL DE AJEDREZ

El ajedrez es un juego que requiere de mucha destreza mental para que cada uno de los jugadores realice una jugada que lo lleve a ganar el juego. Uno de los problemas de este juego, es el tiempo que se dedica a pensar para hacer una jugada, en algunas ocasiones el juego suele durar mucho, ya

Page 122: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURANque los jugadores inexpertos tardan en hacer sus jugadas provocando así que el juego se prolongue a un tiempo bastante considerable. Es por ello que un reloj de ajedrez es útil en casos como éste, ya que además de controlar los tiempos que tiene cada jugador para hacer su jugada, es una limitante que puede hacer de éste juego más interesante y menos tedioso..

FUNCIONAMIENTO DEL RELOJ DE AJEDREZ

El reloj de ajedrez cuenta con dos modos de funcionamiento para el control de tiempos de los jugadores, se puede seleccionar el funcionamiento en minutos o en segundos mediante un dip switch. Ya que se tiene seleccionado el modo en el que se va a jugar se programan los tiempos para cada uno de los jugadores en cada uno de los dip switchs de cada uno de ellos. Al ya tener elegido el modo a jugar y al haber programado los tiempos para cada jugador se enciende otro dip switch que controla el momento en que empieza a correr el tiempo para el primer jugador.

Si se elige el modo segundos, los displays de cada uno de los jugadores va cambiando segundo a segundo de manera que la cuenta de cada jugador decrece. Cuando el primer jugador termina de hacer su jugada oprime inmediatamente un push button que produce que su cuenta se detenga y se haga un reset en la misma al número original que fue programado, ocasionando al mismo tiempo que la cuenta del otro jugador empiece a decrecer como el jugador anterior, siguiendo la misma mecánica. Si a alguno de los dos jugadores le decrece su cuenta hasta cero y no hizo su jugada en ese tiempo, se enciende un led que indica que ese jugador a perdido el juego.

Si se elige el modo minutos, los displays de cada uno de los jugadores va cambiando minuto a minuto de manera que la cuenta de cada jugador decrece. Cuando el primer jugador termina de hacer su jugada oprime inmediatamente un push button que produce que su cuenta se detenga, ocasionando al mismo tiempo que la cuenta del otro jugador empiece a decrecer como el jugador anterior, siguiendo la misma mecánica. Si a alguno de los dos jugadores le decrece su cuenta hasta cero y no hizo su jugada en ese tiempo, se enciende un led que indica que ese jugador a perdido el juego.

Page 123: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Diagrama Lógico Del Circuito De Reloj De Ajedrez

Page 124: Sistemas Digitales I

POLILIBRO SISTEMAS DIGITALES IMARIO ALBERTO SESMA MARTINEZMIGUEL ANGEL TORRES DURAN

Dejar espacio para el desarrollo del proyecto

Conclusiones

Dejar entrada para concluciones

Ejercicios

Unidad I

Unidad II

Unidad III

Unidad IV

Unidad V

Blibiografia