Compendio de Problemas de Practica Circuitos Digitales

37
UNIVERSIDAD DE COSTA RICA ESCUELA DE INGENIERIA ELECTRICA CIRCUITOS DIGITALES I, IE-0423 Profesor Ing. Geovanny Delgado M.Sc.E.E. PROBLEMAS DE PRÁCTICA I EXAMEN PARCIAL 1) Se tiene un convertidor analógico a digital que convierte con una resolución de 16 bits, incluyendo el signo. Se desea usar este convertidor para leer las temperaturas a que operan los circuitos integrados, las cuales oscilan entre -125 y + 125°C. El convertidor se programa para que lea señales analógicas de entrada entre -5 y +5VDC y que su código binario salga en complemento a dos. Si los datos deben procesarse en 12 bits, incluyendo el signo, a) Indique cómo se deberán almacenar en ese formato los valores 0100 0111 0011 0101 y 1010 1100 1110 0010, de manera que se introduzca el menor error posible. b) Indique cuál es el porcentaje de error introducido en los datos representados con 12 bits, con respecto a los valores analógicos a la entrada del convertidor. 2) Convierta a base 4, el número 211.20 3 , empleando aritmética de base 4. Muestre el procedimiento seguido. Obtenga el resultado fraccional con un error no mayor del 1%. 3) Se desea medir una señal analógica que varía entre O y 75 Kg. ¿Cuántos bits se deben usar para representar la señal en digital, con un error de cuantización máximo de 0.01 %? 4) Determine la base para la cual la siguiente operación es correcta: 302 b ,/20 b = 12.1 b 5) Use la representación de números negativos en complemento a 16 para realizar la operación 3A7CD-692F4 mediante una suma de dos números de 5 cifras. 6) La salida de un transductor de presión, se obtiene como una señal eléctrica en una escala de 0-10 V, y luego se pasa por un convertidor analógico a digital. Se determina que una lectura del convertidor igual a 1000 0000 0000, resulta en un valor de presión de 75 Kg/cm 2 . Determine: a. El tamaño de la plena escala en unidades de ingeniería b. El error de cuantización máximo en unidades de ingeniería. c. Cuál debe ser la menor cantidad de bits del convertidor, para que el error máximo de cuantización sea menor del 0.1 % de la escala máxima. 7) Realice directamente las siguientes conversiones de cantidades a la base numérica especificada. Calcule los valores decimales con un error no mayor que 0.000110: 3142 5 = 3 ; 5392.15 10 = 2 : 3947 10 = 8 . 8) Explique si existe un número de 8 bits que al sumarse con X= $C7, dé un resultado con una condición de exceso si: a) El número X está representado sin signo, b) El número X está representado con signo en el sistema numérico de números con signo en complemento a 2.

description

Compendio de Problemas de Practica Circuitos Digitales

Transcript of Compendio de Problemas de Practica Circuitos Digitales

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    PROBLEMAS DE PRCTICA

    I EXAMEN PARCIAL 1) Se tiene un convertidor analgico a digital que convierte con una resolucin de 16 bits,

    incluyendo el signo. Se desea usar este convertidor para leer las temperaturas a que operan los circuitos integrados, las cuales oscilan entre -125 y + 125C. El convertidor se programa para que lea seales analgicas de entrada entre -5 y +5VDC y que su cdigo binario salga en complemento a dos. Si los datos deben procesarse en 12 bits, incluyendo el signo, a) Indique cmo se debern almacenar en ese formato los valores 0100 0111 0011

    0101 y 1010 1100 1110 0010, de manera que se introduzca el menor error posible. b) Indique cul es el porcentaje de error introducido en los datos representados con

    12 bits, con respecto a los valores analgicos a la entrada del convertidor. 2) Convierta a base 4, el nmero 211.203, empleando aritmtica de base 4. Muestre el

    procedimiento seguido. Obtenga el resultado fraccional con un error no mayor del 1%.

    3) Se desea medir una seal analgica que vara entre O y 75 Kg. Cuntos bits se

    deben usar para representar la seal en digital, con un error de cuantizacin mximo de 0.01 %?

    4) Determine la base para la cual la siguiente operacin es correcta: 302b,/20b= 12.1b

    5) Use la representacin de nmeros negativos en complemento a 16 para realizar la

    operacin 3A7CD-692F4 mediante una suma de dos nmeros de 5 cifras.

    6) La salida de un transductor de presin, se obtiene como una seal elctrica en una

    escala de 0-10 V, y luego se pasa por un convertidor analgico a digital. Se determina que una lectura del convertidor igual a 1000 0000 0000, resulta en un valor de presin de 75 Kg/cm2. Determine:

    a. El tamao de la plena escala en unidades de ingeniera b. El error de cuantizacin mximo en unidades de ingeniera.

    c. Cul debe ser la menor cantidad de bits del convertidor, para que el error mximo

    de cuantizacin sea menor del 0.1 % de la escala mxima.

    7) Realice directamente las siguientes conversiones de cantidades a la base numrica

    especificada. Calcule los valores decimales con un error no mayor que 0.000110:

    31425= 3; 5392.1510= 2: 394710= 8.

    8) Explique si existe un nmero de 8 bits que al sumarse con X= $C7, d un resultado con

    una condicin de exceso si: a) El nmero X est representado sin signo, b) El nmero X est representado con signo en el sistema numrico de nmeros con signo en complemento a 2.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    9) Represente en octal y BCD la cantidad $A6CC. ($=cantidad hexadecimal)

    10) Si el cdigo ASCII de 'a'=$61, cul es el cdigo ASCII en hexadecimal de la letra 'z'. 11) Simplifique por manipulacin algebraica, la expresin:

    F(A,B,C)=(AB)'(AC+B')+(A+B)(A(BC)'+A'BC

    12) Realice la siguiente expresin usando solamente compuertas NOY: F=[A+(B+C)'+B'D']'

    13) Indique el porcentaje de energa ahorrada, cuando se alimenta un 74HC con 3.3V,

    comparado con una operacin a 5V

    14) Para el circuito de la siguiente figura, determine el tiempo de propagacin del peor

    caso, que se da desde la entrada A, B, C o D, hasta F.

    15) Determine cuntas cargas 74AS puede manejar una salida 74ACT, si las

    caractersticas mximas en mA, son las siguientes: 74ACT: IIL=0.05; IoL=24: IIH= -0.05; IOH= -24 74AS: IIL=-2.0; IOL=20: IIH =20Ox10-3; IOH= -2000x10-3 16) Considere el circuito de la siguiente figura. Obtenga la expresin mnima para f(A,B).

    Incluya todo el procedimiento.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    17) Disee un circuito CMOS, con solo 6 transistores, que implemente la funcin lgica

    representada por compuertas de la siguiente figura.

    18) Determine la potencia de disipacin total del circuito de la siguiente figura como una

    funcin de la frecuencia de transmisin, para la implementacin en dos tecnologas: a) Usando compuertas 74LS

    b) Usando compuertas 74HC

    Suponga que la capacitancia de entrada es de 3 pF para una compuerta TTL. y 7 pF para una compuerta CMOS, que cada compuerta tiene una capacitancia de disipacin de potencia interna de 20 pF y que existe una capacitancia parsita adicional debida al alambrado de 20 pF en el circuito. Tambin suponga que las entradas W, X, Y y Z estn siempre. Explique cualquier otra suposicin que utilice 19) El circuito de la siguiente figura usa compuertas NOY de drenaje abierto para realizar

    lgica alambrada.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    a. Escriba una expresin lgica para la seal de salida, como funcin de las entradas

    del circuito. b. Cul es el mximo valor de R1, si se requiere un margen de ruido de 0.7V en el

    nivel alto a la salida de la compuerta?

    Considere que: IIlmx = - 0.4 mA; IIhmx = 20uA IoLmx= 8 mA IoHmx = 100 uA VOLmax = O.5V VILmax=O.8V VoHmin = 2.7V VIhmin = 2.0V 20) Una tarjeta de la que ya se haban producido 1000 copias, con el circuito de la

    siguiente figura (sin incluir los diodos), tena errores lgicos que se corrigieron incluyendo sobre ella, los diodos mostrados.

    a. Escriba la expresin de la salida X y justifquela. b. Calcule los mrgenes de ruido en ambos niveles, en la lnea a. c. Explique porqu la solucin es lgicamente correcta pero elctricamente incorrecta.

    Considere que: IIlmx = - 0.4 mA; IIhmx = 20uA IoLmx= 8 mA IoHmx = - 400 uA VOLmax = O.5V VILmax=O.8V VoHmin = 2.7V VIhmin = 2.0V

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    21) Una aplicacin requiere medir presin en psi (pounds for square inch) en el rango de 0 a 15 psi y esta es convertida de manera lineal en un voltaje de 0 a 5 voltios. Si se utiliza un convertidor analgico a digital de 10 bits con una exactitud de 1% F.S. Entonces calcule:

    a) Cules son los posibles cdigos binarios resultado de la conversin de una

    presin de 7.85 psi b) Cul es el intervalo de valores (en psi) asociados al cdigo binario 3C6HEX

    22) Utilizando los postulados del lgebra de Boole simplifique al mximo la siguiente

    expresin. Indique en cada paso el postulado del lgebra de Boole utilizado.

    F(A,B,C,D,E) = (AB+C+D)(C+D)(C+D+E) 23) Realice la conversin numrica directa de base con dos decimales para el siguiente

    nmero, incluya todo el procedimiento 332.224 = ________________ 3 24) Implemente un circuito con tres transistores MOS que implemente la siguiente funcin

    lgica. Incluya la tabla de verdad del circuito propuesto y demuestre que satisface la funcin lgica dada.

    25) Considere el circuito de la siguiente figura el cual opera a una frecuencia de 500 kHZ. a) Escriba la funcin F(A,B,C) b) Calcule la potencia disipada en AC, si la capacitancia de cada una de las lneas es de

    13 pF y la salida va a manejar un inversor 74HC04. c) Calcule el retardo de propagacin del peor caso d) Calcule el margen de ruido en la lnea X

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    26) Realice las siguientes operaciones. Para cada una incluya todo el procedimiento de

    solucin:

    a. Convierta de manera directa el nmero 863.20312510 a base 8 b. Realice el producto en base 5 : 413.25 X 325 c. Realice la resta 61410-37310 mediante la suma de complementos de base. d. Exprese el nmero positivo 110111012 en BCD

    27) Si se utiliza un convertidor analgico a digital de 12 bits, con una exactitud de 0.2 %

    FS, para obtener la seal de una romana en el mbito de 0 a 28 Kg, indique, en hexadecimal, el intervalo de valores de salida del convertidor para un peso de 13.62 kg

    28) Un circuito digital tiene tres entradas: x, y, z, y una salida F(x,y,z). Se cuenta para

    implementar este circuito digital con dos inversores, dos compuertas AND de dos entradas y una compuerta OR de dos entradas. Si la expresin lgica que describe F(x,y,z) viene dada por:

    Utilice los postulados y teoremas del lgebra de conmutacin (Boole) para simplificarla a una forma que se pueda implementar con las compuertas disponibles. En cada paso enuncie el postulado o teorema utilizado

    29) Dibuje la implementacin en CMOS para la siguiente tabla de verdad. El diseo debe incluir nicamente tres transistores. Las entradas son A y B y la salida es S. Incluya una tabla con las combinaciones de entrada, el estado de los transistores y la salida, tal que, se justifique su solucin.

    A B S

    0 0 Hi-Z

    0 1 0

    1 0 1

    1 1 0

    30) Para el circuito de la siguiente figura:

    a) Encuentre el margen de ruido en la lnea a. b) Encuentre el retardo de propagacin de la entrada a la salida en la trayectoria de

    seal del peor caso c) Cual es el fan-out de un 74AHCT02 manejando compuertas 74HC00?

    Justifique su respuesta d) Si la salida est manejando una sola entrada AHCT y cada una de las lneas

    tiene una capacitancia de 3 pF. Calcule la potencia disipada por el circuito a una frecuencia de 300 Khz cuando opera a 5 voltios.

    ))(()(),,( zxyxzxxzzzyzyxF

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    31) En muchos automviles la alarma del cinturn de seguridad se utiliza tambin para indicar que se est dejando la llave en el contacto de ignicin o dejando las luces frontales encendidas, cuando el auto est desocupado. La siguiente descripcin propone la forma en que puede funcionar dicho sistema: La alarma sonora se activa si la llave est en el contacto de ignicin cuando la puerta est abierta y el motor no est funcionando; o si las luces estn encendidas cuando la llave no est en el contacto de ignicin o si el cinturn de seguridad del conductor no est cerrado cuando el motor est funcionando; o si el asiento del pasajero est ocupado y su cinturn de seguridad no est cerrado, cuando el motor est funcionando. Disee el circuito lgico mnimo para la funcin ALARMA, si se cuenta con entradas para: Motor Funcionando (MF), Luces Encendidas (LC), Contacto de Ignicin (CI), Cinturn de Chofer Cerrado (CCC), Cinturn de Pasajero Cerrado (CPC), Asiento de Pasajero Ocupado (APO), Puerta Abierta (PA). Incluya todo el procedimiento y muestre un diagrama esquemtico final del circuito.

    32) Cinco estudiantes, Ana, Juan, Luis, Mara y Pedro, estn planificando un viaje en

    automvil, para lo cual deben cumplir las siguientes condiciones :

    Si Mara va, Pedro debe ir.

    Si Juan va, Ana y Mara deben ir tambin

    O Ana o Juan o ambos deben ir

    O Luis o Pedro, pero no ambos, deben ir

    O van Ana y Luis, ambos, o no va ninguno de los dos.

    Deducir una funcin de conmutacin que nos indique qu estudiantes pueden hacer juntos el viaje. Simplificar la funcin tanto como sea posible.

    33) Estela es una comerciante de autos usados y tiene varios automviles de 8 cilindros y 1 tonelada. Tambin cuenta con varios autos con aire acondicionado y otros con menos de 8 cilindros y todos tienen un precio excesivo. Adela, otra comerciante de autos, tiene en su lote algunos autos sin aire acondicionado y de 8 cilindros, que no tienen un precio excesivo. Tambin cuenta, Adela, con modelos de 8 cilindros y aire acondicionado y algunos autos de un solo color, con un precio excesivo. Escriba la expresin Booleana ms simple para las categoras de automviles con las que cuentan ambas comerciantes.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    34) Se desea determinar el volumen de lquido en un tanque cilndrico de rea de seccin transversal constante e igual a 20 m2, midiendo una seal de nivel mediante un sensor que enva una seal de 0 a 10 voltios proporcional al nivel en el tanque. La altura mxima del lquido en el tanque es de 15 metros. Si la exactitud de la medicin del volumen debe ser 0.1 m3, determine cual es el mnimo nmero de bits que se requieren para digitalizar en binario la seal de nivel, al utilizar un convertidor analgico a digital con una exactitud de 0.01 % FS.

    35) Un circuito digital tiene tres entradas: A, B, C, y una salida F(A,B,C). Se cuenta, para

    implementar este circuito digital, con dos inversores, dos compuertas AND de dos entradas y una compuerta OR de dos entradas. Si la expresin lgica que describe F(A,B,C) viene dada por:

    Utilice los postulados y teoremas del lgebra de conmutacin (Boole) para simplificarla a una forma que se pueda implementar con las compuertas disponibles. En cada paso enuncie el postulado o teorema utilizado

    36) Las dos compuertas del siguiente circuito son idnticas y tienen las siguientes

    especificaciones, para VDD= 5 VDC: VOL= 0.45 v, VOH = 3.8 v, VIL = 1.45 v, VIH = 2.7 v, IOL = 4 mA, II= 250 uA. Suponga que el cero de entrada en I1 es cero y el 1 es 5v.

    a. Si R2 = 10 Kohmios. Encuentre el intervalo de valores de R1 tal que I2 reconozca los valores lgicos de manera apropiada.

    b. Si R2= 10 Kohmios y R1 = 2.7 kohmios calcule el margen de ruido de estado alto en la lnea x.

    Ayuda: No olvide considerar la corriente de entrada a I2 37) Realice las siguientes operaciones. Para cada una incluya todo el procedimiento de

    solucin:

    CABBACBACBAf ))((),,(

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    a. Convierta de manera directa, con cuatro dgitos a la derecha del punto, el nmero 683.32510 a base 6.

    b. Realice el producto en base 6 : 531.46 X 236 Incluya todo el procedimiento. c. Realice la resta 811410-147310 mediante la suma de complementos de base. d. Exprese el nmero en complemento a 2: 1110111012 en una representacin

    signo ms magnitud en BCD. 38) Se desea realizar un circuito combinacional con dos canales de entrada A y B y dos

    canales de salida Y y Z. Todos los canales son de dos bits. Se dispone de una lnea de control en el circuito denominada S, tal que, cuando S=0, el valor del canal A deber salir por el canal Y y el valor de canal B sale por el canal Z. Adems cuando S=1 el valor del canal A deber salir por el canal Z y el valor del canal B por el canal Y. Disee el circuito utilizando amplificadores no inversores (buffers) con salida de tercer estado. Incluya el diagrama esquemtico del circuito propuesto y explique su solucin.

    39) Un circuito digital tiene 3 entradas x, y, z y una salida denotada por f(x,y,z). Se

    cuenta para implementar este circuito nicamente con una compuerta Y de dos entradas y una compuerta O de dos entradas. Si la expresin lgica que describe f(x,y,z) viene dada por:

    Utilice los postulados y teoremas del algebra de Boole para simplificarla a una forma que se pueda implementar con las compuertas disponibles. En cada paso enuncie el postulado o teorema utilizado.

    40) Considere el siguiente circuito lgico CMOS, encuentre el circuito equivalente en conectivas lgicas y dibuje este circuito. Incluya todo el procedimiento que lleva a su solucin

    zyxzyxzyxzyxf ),,(

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    41) Un circuito digital tiene 4 entradas A, B, C Y D y una salida denotada por f(A,B,C,D).

    Se cuenta para implementar este circuito nicamente con dos compuertas Y de dos entradas, una compuerta O de dos entradas y dos inversores. Si la expresin lgica que describe f(A,B,C,D) viene dada por:

    Utilice los postulados y teoremas del algebra de Boole para simplificarla, en el mnimo nmero de pasos, a una forma que se pueda implementar con las compuertas disponibles. En cada paso enuncie el postulado o teorema utilizado.

    42) Implemente la siguiente funcin lgica utilizando nicamente 6 transistores.

    F(x,y,z) = (x+y)z

    II EXAMEN PARCIAL

    1) Considere la siguiente funcin lgica:

    f(w,x,y,z)= wy + x(w+yz)

    Encuentre las ocho formas estndar para esta funcin 2) Convierta las siguientes funciones a la representacin por mintrminos y maxtrminos

    3) Utilizando los mapas de Karnaugh encuentre las realizaciones mnimas en la forma SDP para las siguientes funciones:

    YWUYXUWVyxwvuf ))((),,,,(

    ))(())((),,,,( ZYXWVZWYZZXZYXWVf

    )15,14,12,11,7,5,4,3(),,,( )

    )13,11,10,6,4,0(),,,()

    mzyxwfb

    mDCBAfa

    )15,13,11,7,5,3(),,,( ) MDCBAfc

    DCBDCAABDCBAf ),,,(

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    4) Determine las realizaciones mnimas en forma SDP para las siguientes funciones

    incompletamente especificadas: 5) Demuestre que la funcin: Tiene una realizacin mnima en la forma SDP dada por: 6) Demuestre que la funcin: Tiene una realizacin mnima en la forma SDP dada por: 7) Los seores Roberto, Francisco y Carlos coleccionan libros. Don Roberto colecciona

    trabajos polticos en espaol y novelas en lenguas extranjeras. Don Francisco colecciona todos los trabajos polticos, excepto novelas en espaol y obras en espaol que no sean novelas. Don Carlos, por su parte, colecciona las publicaciones que no son de ficcin y estn en espaol, o bien, obras polticas en lenguas extranjeras. Determine los libros para los que no existe competencia, es decir, los libros que buscan dos o ms coleccionistas.

    Respuesta: Los libros en espaol que no son novelas o las obras de poltica que no estn en espaol.

    8) Disee un circuito llamado contador de pluralidad en la forma de una red iterativa. Un

    contador de pluralidad acepta entradas A1, A2, ....., An y produce dos salidas B1 y B0. Las dos salidas permiten cuatros posibles cdigos. Se utiliza un cdigo que indica que la palabra de entrada no contiene unos, otro cdigo que indica que la palabra de entrada contiene solo un 1, y un tercer cdigo que indica que la palabra de entrada

    )31,29,28,24,23,20,19,18,11,5,4,3,2,0(),,,,( ) mzyxwvfd

    )30,27,15,12,0()31,28,23,21,20,19,18,11,5,4,3,2,1(),,,,()

    )13,2()15,11,9,8,5,3,1(),,,( )

    dmEDCBAfb

    dmDCBAfa

    )10,8,3()15,14,12,7,5,4(),,,( ) dmDCBAfc

    )31,30,28,22,20,16,14,12,11,6,5,4,1,0(),,,,( mEDCBAf

    ECDBAABCDEDBDECBAEDCBAf ),,,,(

    )46,45,43,42,37,27,23,22,19,18,14,10,7,6,3,2(),,,,,( mFEDCBAf

    ECAFCEBEDCBAFEDBAEFDBAFEDCBAf ),,,,,(

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    contiene dos o ms unos. Intente con varios conjuntos de cdigos con el objetivo de obtener la implementacin ms simple posible de la celda general de la red.

    9) Dados dos nmeros binarios A=an, an-1, ...., a0 y B=bn, bn-1, ...., b0 , disee una red

    iterativa llamada detector de magnitud relativa que produce una salida en 1 si A>B y 0 de otra forma. Este problema puede ser resuelto analizando los nmeros de LSB al MSB o viceversa. Si se analiza del MSB al LSB, se requieren dos lneas entre las celdas. Si se analiza del LSB al MSB se requiere solo una.

    10) Disee una red iterativa la cual inspecciona dos nmeros de n bits A y B y determina

    si A es el complemento a 1 de B. De ser as la salida al final de la red es 1, de otra forma es 0. Por ejemplo para n=8, si A=10001100 y B = 01110011 entonces la salida es 1.

    11) Disee una red iterativa la cual determine si los unos y los ceros de una palabra de n

    bits aparecen de forma alternada. Si los bits estn alternados se produce un 1 al final de la red, de lo contrario se produce un cero. Ejemplos: 010101 y 1010101 producen una salida 1, adems 110101 produce una salida 0.

    12) Disee una red iterativa la cual inspeccione un nmero de n bits denominado A y

    determine si tiene tres unos adyacentes en cualquier lugar de la palabra, produciendo un cdigo de salida 11 al final de la red. Las dems combinaciones de salida 00, 01 y 10, indican que la palabra no tiene tres unos adyacentes en ningn lugar. Por ejemplo, para n=8, 01110110 produce una salida 11. La palabra 01101101 produce uno de los siguientes tres cdigos: 00, 01 10.

    13) Disee una red iterativa la cual determina si un nmero B de n bits es la versin

    desplazada de un nmero A. Donde el desplazamiento a determinar es de una posicin a la izquierda, con una entrada de cero por el LSB. Si B es la versin desplazada de A entonces la salida es uno, de lo contrario la salida es cero. Por ejemplo, si A=1010 y B = 0100 entonces la salida es 1. Note que el problema puede ser resuelto analizando la palabra de derecha a izquierda o de izquierda a derecha.

    14) Disee una red iterativa que determine si un nmero A = AnAn-1.....A1 tiene la siguiente

    caracterstica:

    A2i = A2i-1 para todos los i = 1,2,...,n/2

    Si esto es as entonces la salida de la red es 1 de lo contrario es 0. Por ejemplo 110000110011 tiene esta caracterstica, pero 110001110011 no la tiene. El problema se puede trabajar en cualquier direccin.

    Ayuda: Agrupe las entradas tal que para cada i, A2i y A2i-1 son entradas a la misma celda.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    15) Disee una red iterativa que determine si un nmero de n bits A = AnAn-1.....A1 tiene una de las siguientes caractersticas:

    a) An = 1 y Ai = 0 para todo i < n. O b) An = 0 y Ai =1 para todo i < n

    De cumplirse esto la salida de la red debe ser 1, de otra forma la salida deber ser 0. Por ejemplo para n=4 1000 y 0111 producen un 1, pero 1100 produce un cero en la salida.

    16) Disee una red iterativa que recibe una palabra de entrada A = AnAn-1.....A1 y produce

    una salida al final de la red que es 1 si cualquiera de las dos siguientes condiciones se cumple:

    a) Todos los bits son cero b) An=1 y los restantes bits son cero

    Por ejemplo, si n=4, 0000 y 1000 producen una salida 1, pero 1010 produce una salida 0.

    Nota: La solucin ms simple se obtiene si la primera celda es tratada de manera diferente a las restantes.

    17) Disee una red iterativa que realice las siguientes especificaciones

    a) La entrada es una palabra A de n bits b) La salida al final de la red es la suma mdulo 3 del nmero de unos en la palabra c) Si N es el nmero de unos en A, entonces represente NMod3 = 0 por 00, NMod3=1 por

    01, NMod3 = 2 por 10

    Por ejemplo 1001001110 debe producir una salida 10, ya que 5 mdulo 3 es 2 y 1010101110 debe producir una salida 00, ya que 6 mdulo 3 es 0.

    18) Disee una red iterativa que reciba 4 nmeros en BCD y que detecte cuando se reciba la secuencia 4321. La salida deber ser el nmero 4 en BCD si se tiene esta secuencia, de lo contrario deber dar un 0 en BCD.

    19) Se desea disear un circuito lgico mnimo para obtener el complemento a dos de una

    palabra P y adicionarle un bit de paridad par. El bit de paridad ser 1 si el nmero de unos de la palabra complementada Z, es impar; de otro modo ser cero. Disee este circuito en la forma de una red iterativa.

    20) La siguiente figura, muestra el cruce de una autopista principal, con un camino de

    acceso secundario. Se colocan sensores de deteccin de vehculos a lo largo de los carriles C y D (autopista) y en los carriles A y B (camino de acceso). Las salidas del

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    sensor son bajas (0), cuando no pasa ningn vehculo y altas (1), cuando pasa algn vehculo. El semforo del cruce, se controlar de acuerdo a la lgica siguiente:

    a) La luz verde del semforo E-O, denominada VA, estar en verde, siempre que:

    i. los carriles C y D estn ocupados ii. ya sea C o D estn ocupados pero A y B no lo estn iii. cuando no haya vehculos transitando

    b) La luz verde del semforo N-S, denominada VC, estar en verde, siempre que:

    i. Los carriles A y B estn ocupados pero C y D no lo estn ii. Los carriles A o B estn ocupados pero C y D no lo estn

    Debe haber adicionalmente, dos luces rojas correspondientes, denominadas RA y RC, que se encienden cuando la luz verde correspondiente est apagada. Disee un circuito lgico para controlar las luces. Obtenga la solucin mnima. 21) Una mquina digital tiene 3 entradas de datos, B0, B1, B2 y dos entradas de control C0

    y C1, y dos salidas Y0 y Y1. Dos de los bits de entrada irn a las salidas, de acuerdo a los valores de las entradas de control como se muestra en la siguiente tabla. Encuentre una expresin SDP mnima que describa a las salidas Y0 y Y1

    C0C1 Y1Y2

    00 B1B0

    01 B2B1

    10 B0B2

    22) Las n palabras de una memoria asociativa, estn formadas por dos campos llamados

    ETIQUETA y DATO, como se muestra en la siguiente figura. Cuando se busca un dato en forma asociativa, se coloca una etiqueta a)a entrada de la memoria para determinar si hay dentro de ella, una etiqueta igual. Si este fuera el caso, la palabra emite una seal de concordancia, CON, que le informa sobre este resultado a la LGICA DE SELECCIN de la memoria. La lgica de seleccin selecciona slo a la palabra en concordancia; con una lnea individual SEL, lo que causa que la palabra completa, se

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    ponga en la SALIDA de la memoria asociativa Si hay ms de una concordancia, slo se activar la salida SEL de la primera palabra encontrada, para evitar conflictos en la salida. La lgica de seleccin deber emitir adems, una seal E, que indica la existencia de al menos una concordancia y una seal M, que indica que se encontr ms de una seal de concordancia. Disee una red iterativa que realice la lgica de seleccin. Tanto E como M debern salir de la ltima celda, pero M deber generarse directamente, sin la adicin de lgica extra

    23) Los bits S1, S2,., Sn son seales de interrupcin enviadas por n equipos perifricos al procesador central de un computador, para solicitar su atencin. En cualquier momento el procesador se puede comunicar con, a lo ms, dos perifricos. El perifrico 1 (correspondiente a la interrupcin S1) tiene la prioridad de atencin ms alta, el perifrico 2 (correspondiente a la interrupcin S2), la segunda ms alta y as sucesivamente para todos los perifricos. Se debe disear una red de reconocimiento de atencin, con salidas Z1,Z2,Zn de tal modo que no ms de dos salidas se pongan en 1 simultneamente, las salidas en uno corresponden a las dos entradas de mayor prioridad de los perifricos solicitando atencin (la interrupcin i-sima est activada si Si=1). En caso de que solo exista solicitud de interrupcin de un perifrico solo se pondr en 1 la salida correspondiente y si no hay solicitudes de interrupcin ninguna salida se debe poner en 1. Disee una red iterativa para implementar el diseo de la red de reconocimiento de atencin a las interrupciones. Utilice el procedimiento visto en clase, incluya todo el procedimiento. Dibuje el diagrama esquemtico de la celda tpica.

    24) Un sumador/restador de nmeros de 4 bits con signo, en complemento a dos, realiza

    la operacin AB presentando el resultado en 5 bits de la forma: CS210, donde C

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    es el acarreo S es el signo de la suma y i es el bit i-simo del resultado. Los operando A y B son de la forma: A=SAA2A1A0 y B= SBB2B1B0 donde SA y SB son los signos correspondientes a A y B y Ai y Bi son los i-simos bits de A y de B. El sumador dispone de una entrada S/R para seleccionar operacin SUMA (S/R = 1) u operacin RESTA (S/R=0). Entonces, para este sumador disee un circuito mnimo que disponga de una salida D que se ponga en 1 cuando el resultado ha rebasado la capacidad del nmero.

    25) Se debe disear un circuito lgico combinacional que tenga cuatro entradas

    denominadas y1, y0, x1, x0. Los pares de bits y1y0, x1x0 representan nmeros binarios positivos de dos bits con x1 y y1 como los ms significativos. La nica salida del circuito (z) debe ser 1 si y solo si el nmero binario x1x0 es mayor o igual a y1y0. Disee un circuito O/Y mnimo y muestre el diagrama esquemtico.

    26) Si A es el MSB, utilizando mapas de Karnaugh, encuentre la expresin mnima SDP

    para: 27) Se desea encontrar los nmeros primos entre 3 y 20 (incluidos estos dos lmites) en

    una palabra de 5 bits denotada como ABCDE. Disee un detector primo que satisfaga este requerimiento donde la nica salida (F) ser 1 cuando la palabra ABCDE es un nmero primo entre 3 y 20. El diseo debe ser en dos niveles y slo se cuenta con compuertas OR y NAND. Encuentre la expresin mnima por mapas de Karnaugh y muestre el diagrama del circuito a implementar.

    28) Disee la red iterativa ms pequea que compare dos nmeros de n bits A (An-1An-

    2A1A0) y B (Bn-1Bn-2.B1B0) y que genere tres salidas denotas C1, C2 y C3 que se activen si A=B (C1=1), AB (C3=1). Utilice el procedimiento de diseo visto en clase, incluya todo el procedimiento, dibuje el diagrama de la celda tpica con el sentido de propagacin propuesto.

    29) Utilizando mapas de Karnaugh encuentre, para la funcin: Donde A es la variable menos significativa. i) Todos los implicantes primos ii) Todos los implicantes esenciales iii) La forma mnima SDP para la funcin 30) Encuentre la representacin mnima NOY/NOY de un circuito digital que tiene dos

    )31,28,27,21,20,19,11,7()30,25,24,22,18,16,14,13,12,6,5,4,2(),,,,( dmEDCBAf

    ECDBADBACEECEDCBAf ),,,,(

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    entradas de 1 bit (A y B), dos lneas de seleccin (S0 y S1) y una salida Z. La salida Z se determina aplicando a las entradas A y B la funcin definida por las lneas de seleccin de acuerdo a la siguiente tabla

    S1 S0 Z

    0 0 A (AND) B

    0 1 A (OR) B

    1 0 A (OEXC) B

    31) Disee una red iterativa la cual determine si los unos y los ceros de una palabra de n bits aparecen de forma alternada. Si los bits estn alternados se produce un 1 al final de la red, de lo contrario se produce un cero. La red diseada debe tener la mnima cantidad de estados. Utilice el procedimiento de diseo visto en clase e incluya todos los pasos. Recuerde hacer el diagrama esquemtico de la celda tpica. 32) Disee una red iterativa con la mnima cantidad de estados para obtener la suma con acarreo de dos nmeros de N bits (A y B), el acarreo de la suma se denota como C. La red debe adicionarle un bit de paridad par a la solucin. El bit de paridad ser 1 si el nmero de unos de la suma S, es impar; de otro modo ser cero. Utilice el procedimiento de diseo visto en clase e incluya todos los pasos. Recuerde hacer el diagrama esquemtico de la celda tpica.

    III EXAMEN PARCIAL

    1) Encuentre la expresin SDP mnima para la funcin del mapa adjunto. Debe seguir el procedimiento de minimizacin visto en clase.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    2) Encuentre la forma SDP mnima de la funcin representada en el siguiente mapa

    3) Use mapas de dimensin reducida para realizar la siguiente funcin empleando multiplexores. Emplee un MUX de 4X1 en el nivel de la salida F, con las variables A y B como variables de seleccin ( A como variable ms significativa) y MUXes 4X1 en el nivel siguiente, empleando como variables de seleccin C y D (C como la ms significativa)

    4) Muestre cmo construir un MUX 8 a 1 con dos MUXes 4 a 1 que disponen de

    control de tercer estado en sus salidas.

    5) Haga una tabla de verdad para el biestable RS. Utilice esa tabla de verdad para disear el circuito mnimo del biestable RS, utilice mapas de Karnaugh. Obtenga la expresin con compuerta NOO.

    6) Disee un contador sincrnico paralelo en mdulo 16, usando flip flops T. Use ese

    contador para implementar un contador mdulo 10, suponiendo que los FF T tienen una entrada sincrnica de borrado CLEAR, activa en nivel bajo. Determine la frecuencia de conteo mxima aplicable al contador mdulo 10, si tsu = 5 nS, tHOLD = 3 nS, tp(CLEAR-Q)= 10 nS, tp(CLK-Q) = 18 nS. Adems para una compuerta Y: tPLH = 10 nS, tPHL = 12 nS y para una compuerta NOY : tPLH = 4 nS y tPHL = 6 nS

    7) Realice un sistema combinacional que recibe como entradas dos nmeros de 4 bits

    y genera en su salida de 4 bits, el mayor de ambos nmeros o un cero si los nmeros son iguales. En su solucin NO PUEDE utilizar ms de dos circuitos integrados.

    8) Simplifique el siguiente mapa de Karnaugh, utilizando el procedimiento de

    reduccin de mapas con variable ingresada (mapas de dimensin reducida) visto

    )31,17,13,4,1()30,29,27,23,19,18,15,11,9,8,6,5,3,0(),,,,( dEDCBAF

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    en clase

    9) Considere el circuito de la siguiente figura y el diagrama para las entradas DATO, HAB y RELOJ adjuntos. Complete el diagrama de tiempos, utilice el espacio dispuesto para las seales. Suponga que los tiempos de propagacin son despreciables y que el tiempo de setup y hold son cero. Explique su solucin.

    CL

    K

    DAT

    O

    HA

    B

    Q1

    Q2

    Q3

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    10) Considere la expresin:

    A) Implemente la expresin Y utilizando MUX 8x1 B) Implemente la expresin Y utilizando MUX 4x1 C) Implemente la funcin utilizando un decodificador 4 a 16 ms una mnima cantidad

    de compuertas

    11) Implemente la siguiente expresin utilizando la mnima cantidad de multiplexores 2x1

    12) Implemente la expresin

    Utilizando un 74HC157 y la mnima cantidad de compuertas adicionales.

    13) Implemente las funciones

    Utilizando un decodificador 4 a 16 y un mnimo nmero de compuertas

    14) El cdigo Gray es ampliamente utilizando en sistemas digitales. La siguiente tabla muestra la equivalencia entre la numeracin binaria y el cdigo Gray.

    DABCDCABDCBADCBADBCADCBABCDAABCDY

    CBACBACBACBAY

    CBACBACBACBAY

    CBACBACBACBAX

    DABCDCABDCBADCBADBCADCBABCDAABCDY

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    Disee un decodificador que convierta de binario a Gray utilizando un 74HC154 y cuatro compuertas NAND de 8 entradas.

    15) Considere la funcin:

    donde A es la variable menos significativa. Entonces

    a) Dibuje el mapa de Karnaugh de dimensin reducida ingresando las variables D y E b) Partiendo del mapa de dimensin reducida encuentre la expresin mnima para la

    funcin. 16) Considere la funcin, donde A es el LSB: Implemente esta funcin mediante un circuito mnimo que utilice un MUX 8X1, con las lneas de seleccin alambradas a CBA, ms las conectivas lgicas que requiera. Incluya todo el procedimiento y muestre el diagrama esquemtico de su solucin. 17) Se desea disear un contador que cuente los pulsos de una seal llamada RELOJ de manera ascendente desde 5 hasta 10 y luego de 10 a 5 y repita la secuencia indefinidamente. Para implementar la solucin usted cuenta con un 74HC193 y un 74HC74 de los cuales se adjunta el diagrama de pines. Adems cuenta con un chip cuadruple de compuertas OR: 74HC32, un chip cuadruple de compuertas NAND: 74HC00 y un chip de seis inversores: 74HC14. Realice el diseo e incluya el diagrama esquemtico de su solucin. Explique.

    18) Para el siguiente circuito complete el diagrama temporal adjunto. Explique su solucin. Considere que los tiempos de propagacin son despreciables y que para los flip-flops se satisfacen apropiadamente los tiempos de setup, en tanto los tiempos de hold son cero.

    )30,29,26,21,14,6(28,22,20,19,18,12,11,10,4,3,2),,,,( dmEDCBAf

    )30,25,24,22,14,11,9,6(29,28,27,26,21,20,19,18,13,12,8,5,4,3,2,0),,,,( dmEDCBAF

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    19) Considere la siguiente funcin, donde A es el LSB:

    Implemente esta funcin mediante un circuito mnimo que utilice solamente MUXes 4X1. Las lneas de seleccin del MUX de ms a la derecha deben ser C y D. Incluya todo el procedimiento y muestre el diagrama esquemtico de su solucin.

    20) Un desplazador de barril de 4 bits es un circuito lgico combinacional con cuatro entradas para datos, E0 a E3, cuatro salidas para datos S0 a S3 y dos entradas de control C0 y C1. La palabra saliente es igual a la palabra entrante, rotada (desplazada) un nmero de bits especificado por las entradas de control. Por

    CLK

    A

    B

    X

    Y

    )30,25,24,22,14,11,9,6(29,28,27,26,21,20,19,18,13,12,8,5,4,3,2,0),,,,( dmEDCBAF

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    ejemplo, si las entradas de control son C1C0=10 las salidas sern S0=E2, S1=E3, S2=E0 y S3=E1. Disee el desplazador de barril usando no ms de 4 unidades lgicas combinacionales. (Sugerencia: no intente una solucin basada en compuertas)

    21) Para el siguiente circuito complete el diagrama temporal adjunto. Explique su

    solucin. Considere que los tiempos de conmutacin son despreciables.

    CLK

    A

    B

    Q1

    Q2

    Q3

    Q4

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    22) Disee un contador utilizando el contador binario 74HC163, que cuente los pulsos de una lnea Y. El contador debe iniciar en la cuenta cero e incrementarse hasta la cuenta 35, momento en el cual pasa a la cuenta 6 y se incrementa hasta la cuenta 35 y repite indefinidamente el ciclo de la cuenta 6 a la 35. Puede utilizar la lgica COMBINACIONAL mnima que requiera. Incluya el diagrama esquemtico del diseo realizado incluyendo los nombres de los pines de los circuitos integrados. Incluya una breve explicacin (no ms de 10 renglones) de su diseo.

    23) Considere la funcin combinacional de las variables EDCBA:

    Obtenga un mapa de dimensin reducida ingresando primero la variable E y luego la variable D. Incluya todo el procedimiento

    Problemas Parcial IV

    1) Para la siguiente tabla obtenga el diagrama ASM

    2) Un sistema digital recibe dos lneas de datos L y M, que cambian con el borde creciente

    del reloj. El sistema est revisando continuamente una ventana de 3 bits por la que pasan las secuencias de datos. Cuando las secuencias L=1O1 y M=110 aparecen simultneamente, se activa la salida S1, ponindose en nivel alto, en caso contrario S1 es cero (el primer bit recibido de la secuencia es el de ms a la izquierda). La salida S2 es igual al valor de L, si el bit L anterior, fue 1, de otro modo, es igual a L'.

    a) Describa la mquina mediante un diagrama ASM. Parta de un estado en el que an

    no se ha iniciado la coincidencia de las secuencias de entrada y el bit anterior en L fue 1. Escriba su definicin de estados. Presente su diagrama ordenado, las lneas NO deben cruzarse en su dibujo.

    b) Determine si el diagrama tiene estados redundantes, en cuyo caso, reduzca la mquina y obtenga el diagrama ASM reducido.

    )31,29,28,12,4()25,24,23,21,19,17,15,13,9,8,7,5,3,1,0(),,,,( dmEDCBAf

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    3) Para la siguiente tabla elimine los estados redundantes y muestre la tabla de estados reducida resultante. Utilice el mtodo de las particiones.

    ESTADO PRESENTE

    PROXIMO ESTADO, SALIDAS

    X1X2=00 X1X2=00 X1X2=00 X1X2=00

    A B,10 D,00 F,11 E,01

    B D,11 B,01 E,00 G,11

    C E,10 C,10 E,10 A,00

    D F,10 A,00 F,11 E,01

    E C,10 C,10 E,10 G,00

    F A,11 B,01 C,00 G,11

    G B,10 A,00 F,11 C,01

    4) Disee una mquina clase 1 con estructura de memoria de estados que satisfaga la siguiente tabla

    ENTRADAS SALIDAS

    000 01

    001 01

    010 10

    011 01

    100 10

    101 10

    110 01

    111 10

    5) Disee un registro desplazante de 4 bits utilizando FF D. Este registro puede desplazar a la izquierda o a la derecha bajo control de una entrada D/I la cual es 1 para desplazamiento a la derecha y 0 para desplazamiento a la izquierda. Si el registro desplaza a la derecha se ingresa el valor por la entrada serie S, si desplaza a la izquierda ingresa el valor de la misma entrada S pero por el FF de la extrema derecha. Adems dispone de una entrada D/C para determinar si desplaza (D/C=1) o si carga en paralelo por

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    las entradas A, B, C, D (D/C=0). Determine las ecuaciones y dibuje el circuito. 6) Para la mquina de estado sincrnica de la siguiente determine la funcin de transicin de estados mnima utilizando Flip-Flops tipo D, as como la funcin de salidas. Dibuje el circuito, incluya todo el procedimiento. Las variables de estado son AB (en ese orden), utilice el orden convencional en los mapas de Karnaugh. 7) Para la mquina de estados del diagrama ASM de la Figura P2 encuentre la funcin de salidas mnima, as como la funcin de transicin de estados. Dibuje el circuito. Incluya todo el procedimiento. Las variables de estado son ABC (en ese orden), utilice el orden convencional en los mapas de karnaugh.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    8) Para la mquina de estados descrita en la siguiente tabla elimine los estados redundantes y muestre la tabla de estados reducida resultante. Utilice el mtodo de las particiones. Incluya todo el procedimiento y explique.

    ESTADO PRESENTE

    PROXIMO ESTADO, SALIDAS

    X=0 X=1

    A F,0 B,0

    B D,0 C,0

    C F,0 E,0

    D G,1 A,1

    E D,0 C,0

    F F,1 B,1

    G G,0 H,1

    H G,1 A,0

    9) Disee un contador que siga la secuencia mostrada en la siguiente tabla, utilizando FF tipo JK disparados por transicin positiva.

    R S T

    0 0 0

    0 0 1

    0 1 1

    1 1 1

    1 1 0

    1 0 0

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    10) Un carro C, ha de moverse sobre rieles entre dos puntos A y B, que disponen de sendos interruptores de lmite de carrera LA y LB, que indican cuando ha llegado a A y a B respectivamente y el carro va a ser controlado por dos pulsadores (interruptores no retenidos) P1 y P2. En el instante inicial, el carro est detenido en el punto A y permanece en dicha posicin hasta que se presione el pulsador P1, instante en que se activa la salida Z1 que acta sobre el motor del carro y hace que se desplace hacia B, el carro contina hacia B aunque se accionen cualquiera de los pulsadores P1 y P2. Una vez que el carro alcanza el punto B, activar el interruptor LB, lo cual har que se active la salida Z2 y se desactive Z1, inicindose el retorno al punto A. Si durante dicho movimiento se presiona P2, el carro invertir su sentido de movimiento, es decir, volver hacia el punto B, para lo cual se desactivar Z2 y se activar Z1. Si, por el contrario, no se activa P2, el carro continuar hacia el punto A y se detendr, desactivando Z1, al alcanzar el interruptor LA. a) Describa la mquina de estados que controle el carro con la secuencia descrita utilizando el diagrama ASM. b) Disee la mquina de estados descrita en la parte a) utilizando FF tipo T. Incluya un diagrama de alambrado final del diseo realizado, donde indique los nmeros de parte de los dispositivos utilizados y los nmeros de los pines en la interconexin. 11) Se requiere disear un circuito digital para desplegar en una pantalla el piso en el que se encuentra un elevador de un hotel de 14 pisos, donde el primer piso tiene el nmero 1. Como es comn en los grandes hoteles no existe el piso nmero 13 y por lo tanto la numeracin salta del piso 12 al piso 14. Cada piso tiene un sensor de proximidad magntica que enva al sistema digital una seal, denotada como E, tal que esta se pone en 1 toda vez que el elevador pasa por ese piso. Se tiene adems una seal denominada S que indica el sentido del viaje (subiendo o bajando) que se pone en 1 cuando el elevador sube. a) Haga el diagrama ASM del circuito digital segn lo especificado. Suponga que la pantalla digital recibe el piso a desplegar en binario puro. b) Encuentre las ecuaciones mnimas que permitan implementar el circuito utilizando FF tipo JK. 12) Se desea disear un sistema digital para el control de alarmas visual y sonora. Este sistema tiene dos entradas A (Alarma) y S (Silenciar), adems tiene dos salidas una para la alarma sonora denominada C (Campana) y otra para la indicacin visual denominada L (Luz). Si no existe una entrada de Alarma las salidas C y L deben estar desactivadas (en cero). Cuando se presenta una alarma se debern activar C y L. Mientras permanezca la condicin de Alarma, se puede silencia la campana activando S, sin embargo, L se mantendr activada toda vez que contine la condicin de Alarma. a) Describa la mquina de estados con la secuencia descrita utilizando el diagrama ASM. b) Disee este circuito utilizado FF tipo D, incluya todos los mapas de Karnaugh y diagrama esquemtico final. 13) Un registro de desplazamiento universal de 4 bits QA, QB, QC y QD y una sola entrada serie denominada SI, tiene dos entradas de modo que determinan la forma de operar, de la siguiente manera: M0M1 = 00 indica que no hace nada (inhibido), 01 indica que debe desplazar a la derecha, 10 indica que debe desplazar a la izquierda y 11 se utiliza para indicar que debe cargar en paralelo de las entradas A, B, C y D. Disee un circuito mnima que implemente este registro y dibuje el diagrama esquemtico final.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    Incluya todo el procedimiento de diseo. 14) Disee un contador mdulo 10 utilizando FF tipo D. Se requiere que tenga una salida divisora por 10 y que la misma sea simtrica, es decir, con un ciclo de trabajo del 50 %, para ello se debe activar la salida en los valores de conteo de 5 a 9. Dibuje el circuito mnimo resultante e incluya todo el procedimiento de diseo. 15) Una mquina vende galletas a 20 colones y acepta monedas de 5 y 10 colones por una ranura de depsito. El control digital de la mquina entrega las galletas activando una salida G. En caso que se depositen 25 colones en una compra, el control bloquear inmediatamente el ingreso de monedas adicionales, activando la salida B, adems regresa una moneda de 5 colones activando la salida SC. La salida B debe mantenerse activada durante la entrega de la galleta y tambin desde que se detecta el ingreso de 25 colones, hasta que termine de entregarse la galleta. La mquina tiene dos sensores EC y ED que detectan el ingreso de monedas de de Cinco y Diez colones respectivamente. Se considera que es imposible ingresar dos monedas simultneamente. Estos sensores envan al control digital una seal de nivel que est activa mientras la moneda pasa, por lo tanto no se debe proceder a recibir una nueva moneda hasta que no haya terminado de pasar la anterior. Por seguridad no se entregarn las galletas ni la moneda de 5 colones de retorno, si la ltima moneda no ha terminado de ingresar. Para que cualquiera de estas entregas se realice correctamente se debern mantener activadas G y SC, durante un retardo de T1 = 1 segundo y T2 = 0.75 segundos respectivamente. Para entregar las galletas, la mquina dispone de un magasn de galletas que normalmente tiene una galleta montada, cuando se activa G se entrega la galleta montada y cuando se desactiva G se monta automticamente la nueva galleta, quedando cargada para la siguiente entrega. Para devolver los 5 colones, la mquina dispone de un magasn de monedas, que funciona de una manera similar al de las galletas. Se considera que los tiempos muertos que quedan desde la desactivacin de G o SC, son suficientes para montar una nueva unidad antes de que sea necesario entregar otra. Para el control digital de la mquina descrita a) Dibuje el diagrama ASM. b) Aplique el mtodo de las particiones para determinar si tiene estados redundantes. 16) Disee y dibuje un contador divisor por 6 que tenga una salida DIV6, la cual se active con el borde activo del tercer pulso del reloj y se desactive al final del periodo del quinto pulso del reloj. El contador, implementado con FF tipo JK disparados por el borde creciente, deber contar en incrementos unitarios, partiendo de cero. 17) Disee y dibuje el circuito de un contador sincrnico que cuente en forma descendente en decrementos unitarios, partiendo de 7. El contador tiene una salida DEBO que se pone en nivel bajo en el IE y se pone en nivel alto en el FE, si la cuenta es cero. Adems tiene una salida IMPAR que se activa en el IE cada vez que el valor del contador es impar y se desactiva en el FE. Implemente el contador utilizando FF tipo D disparados por transicin negativa 18) Disee una mquina secuencial que cuente de la siguiente forma 0,1,2,6,7,8,12,13,14 volviendo nuevamente a 0. El circuito debe ser implementado con FF tipo JK

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    19) Una mquina tiene las siguientes ecuaciones de estado: Donde Y1 y Y2 son las variables de prximo estado y y1 y y2 son las variables de estado presente. E es la variable de entrada y S1 y S2 son las variables de salida. Se sabe que el estado a tiene el cdigo y1y2=00. Dibuje el diagrama ASM para esta mquina, use las letras a,b,c, para denominar los estados 20) Se desea realizar una mquina secuencial de tres salidas R, S y T que deben activarse como se muestra en al tabla adjunta, en forma continua. Cada combinacin deber presentarse durante un segundo. a) Haga el diagrama temporal de las salidas y un diagrama ASM de la mquina. Sintetice la mquina mnima utilizando FF tipo T disparados por el borde decreciente y dibuje el circuito

    R S T

    0 0 0

    0 0 1

    0 1 1

    1 1 1

    1 1 0

    1 0 0 21) Un sistema digital esta encargado de separar paquetes de acuerdo a su peso y

    tamao. Se tienen tres tamaos y tres pesos de paquetes de acuerdo a la siguiente asignacin: pequeos, medianos y grandes; livianos, semipesados y pesados. El sistema cuenta con tres sensores de longitud T1, T2 y T3 y un sensor de peso W, tal como se muestra en la siguiente figura:

    22

    11

    21212

    211

    yS

    yS

    yyyEyEY

    yyY

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    Los sensores de longitud estn colocados de tal forma que si el paquete es pequeo, T1 se activa primero, luego se desactiva T1 sin que se active T2. Con un paquete mediano, T2 se activar cuando T1 est an activo y T1 se desactiva sin que se active T3. Finalmente con un paquete grande T3 se activar cuando an estn activados T1 y T2. Mientras el paquete transita por T1, T2 y T3, el sistema en W pesa el paquete. Este sistema tiene dos salidas W1 y W2 las cuales estn listas una vez que el paquete pase por T3 y funcionan de la siguiente manera: Si el paquete pesa menos de 10 Kg, W1 se activa; si el paquete pesa hasta 50 Kg, W2 se activa y si el paquete pesa ms de 50 Kg se activan tanto W1 como W2. Una vez pasado T3 el canal transportador se divide en cuatro secciones , la seccin 1 es para paquetes pequeos de ms de 50 kg, la seccin 2 es para paquetes medianos de hasta 50 kg, la seccin 3 es para paquetes grandes de hasta 10 kg y la seccin 4 es para todos aquellos paquetes que no pudieron ser colocados en las secciones 1, 2 y 3. La divisin del canal se controla por tres seales D1, D2 y D3. D1 debe activarse cuando el paquete va a la seccin 1, D2 debe activarse cuando el paquete va a la seccin 2 y D1 y D2 deben activarse cuando un paquete va a la seccin 3. Finalmente D3 se activa cuando el paquete va a la seccin 4. La activacin de D1, D2 y D3 se har una vez que el paquete haya pasado por el sensor T3. Adems para activar el cambio de seccin, solo se necesita un flanco positivo en D1, D2 o D3 pues el sistema cuenta con una circuitera externa (no se debe disear) que se encarga de abrir y cerrar el paso de una seccin en particular. Suponga que el espacio entre paquete y paquete es mayor que la longitud de un paquete grande. Dibuje el diagrama ASM del sistema digital que controla este sistema. 22) En una fbrica se producen barras de acero de longitud L+d y L-d. Se requiere ordenar estas barras colocndolas en una faja de transporte que pasa bajo dos fotoceldas, como se muestra en la figura. El espaciamiento entre las barras en la banda transportadora es mayor que d. A la derecha de la fotocelda FC2 est una trampa por la que deben caer las barras cortas. La puerta de la trampa no se debe abrir cuando el sensor de FC2 detecta la presencia de una barra estando el sensor FC1 aun activado y se debe abrir cuando una barra corta ha pasado (se desactiva FC1 y aun no se ha activado FC2). Disee una mquina de estados digital sincrnica para controlar este proceso.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    23) Disee las mquinas de estado sincrnicas descritas en los siguientes diagramas ASM, utilizando FF tipo D. Dibuje el diagrama esquemtico final.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    24) Utilizando el mtodo de las particiones determine los estados redundantes para la

    mquina de estados completamente especificada descrita por la siguiente tabla. Dibuje el diagrama ASM de la mquina resultante

    ESTADO PRESENTE

    PROXIMO ESTADO X=0 X=1

    a B,0 C,0

    b A,1 G,1

    c G,1 E,0

    d G,1 E,1

    e H,0 D,0

    f D,0 B,0

    g D,0 B,0

    h E,1 F,0

    25) En una fbrica de corrugados se tiene una mquina de apilado descendente

    (donwstacker). Esta mquina cuenta con un elevador operando en descenso el cual es alimentado con hojas de cartn por la parte superior, tal como se muestra en la figura adjunta. La operacin de apilado inicia con el elevador arriba, las lminas se empiezan a apilar provenientes de la banda transportadora. La banda transportadora de lminas se activa con una salida llamada BANDA y denominada BD. Cuando la pila llega al nivel del sensor infrarrojo denominado BAJAR se toman dos acciones: se activa la salida LIBERAR FRENO, denominada LF y se activa la salida MOTOR_BAJAR, denominada MB. La salida MOTOR_BAJAR debe activarse durante 1.5 segundos, tiempo suficiente para descender un poco el elevador y producir espacio de apilado entre el tope de la pila y el sensor BAJAR. La salida LIBERAR FRENO debe activarse 0.5 segundos antes que MOTOR_BAJAR y debe terminar justo con la salida MOTOR_BAJAR. Luego de esto el elevador se detiene recibiendo ms lminas de cartn hasta que la pila alcance nuevamente el nivel del sensor BAJAR para repetir el procedimiento. Cuando el elevador alcance el nivel de PILA LLENA (PLL) se inicia el descenso total del elevador, activando las salidas de LIBERAR FRENO (LF) y 0.5 segundos despus MOTOR_BAJAR (MB), esta vez el elevador se desciende a nivel de piso, detectado mediante el sensor ABAJO. En este momento se activa una salida denominada EVACUAR (EV) la cual arranca un motor de evacuacin de la pila del elevador. Cuando la pila ha salido del elevador se activa un sensor denominado ELEVADOR VACIO (ELVA) y medio segundo despus la salida EVACUAR se desactiva. Medio segundo despus de que se desactiva la salida EVACUAR, se activa la salida MOTOR_SUBIR (MS), para que el elevador regrese a su posicin superior e inicie un nuevo proceso de apilado. La salida MOTOR_SUBIR se desactiva cuando el sensor ARRIBA se active indicando que el elevador lleg a su

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    posicin superior. Durante el proceso de evacuacin de pila (desde la activacin del sensor PILA LLENA y hasta la activacin del sensor ARRIBA) la salida BANDA debe estar inactiva para evitar que las lminas vuelen desde la salida de la banda mientras el elevador est evacuando. De igual forma el freno debe estar liberado (LF=1) para permitir el descenso y posterior ascenso del elevador hasta la posicin ARRIBA. Realice la descripcin de la mquina de estado, con la mnima cantidad de estados posible, por medio de un diagrama ASM indicando el acondicionamiento de salidas en caso requerir salidas acondicionadas. Suponga que la seal de reloj es de 1 HZ con un ciclo de trabajo del 50 % (0.5 segundos en estado alto y 0.5 segundos en estado bajo). En el diagrama ASM indique los estados con letras minsculas a,b,c,. Luego haga un listado donde describa cada uno de los estados utilizados; sea breve en la descripcin (dos a cuatro palabras)

    26) Disee la funcin de salidas para una mquina de estados sincrnica que utilice a lo ms tres flip-flops tipo T y que produzca la siguiente secuencia de salidas de manera peridica. Si cada separacin entre las lneas punteadas representa 0.25 segundos, define la frecuencia del reloj. Unicamente escriba las ecuaciones de salida, no debe dibujar el diagrama esquemtico. Incluya el diagrama ASM.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.

    27) Considere el diagrama ASM de una mquina de estados sincrnica donde el borde activo del reloj es el creciente y todos los FF tiene disponible la salida y su complemento. Entonces si declaracin de estados es CBA:

    a) Obtenga la funcin de salidas de la mquina. Incluya el diagrama esquemtico del

    diseo, para ello dibuje los FF y a partir de sus salidas dibuje el circuito diseado. b) Obtenga la funcin de prximo estado si se utilizan FF tipo D. No es necesario que

    incluya el diagrama esquemtico, nicamente determine y escriba explcitamente la funcin de prximo estado. Incluya todo el procedimiento.

  • UNIVERSIDAD DE COSTA RICA

    ESCUELA DE INGENIERIA ELECTRICA

    CIRCUITOS DIGITALES I, IE-0423

    Profesor Ing. Geovanny Delgado M.Sc.E.E.