CARACTERIZACIÓN DE FLIP FLOPS

20
UNIVERSIDAD AUTÓNOMA METROPOLITANA Azcapotzalco DIVISION C.B.I. DEPARTAMENTO DE ELECTRÓNICA LABORATORIO DE DISEÑO LÓGICO PRACTICA No. 7 “CARACTERIZACIÓN DE FLIP FLOPS” EQUIPO NO. 5 INTEGRANTES DEL EQUIPO MENDOZA PESCADOR ALBERTO - 2133002992 MORA CALDERÓN ANDRÉS - 2123032433 URIARTE REYES ASHLEY – 2133033773 PROFESOR: FRANCISCO JAVIER SANCHEZ RANGEL GRUPO: CEL03 Trimestre 15I Fecha de entrega: 26 de marzo 2015

description

Flip flops caracterización

Transcript of CARACTERIZACIÓN DE FLIP FLOPS

Page 1: CARACTERIZACIÓN DE FLIP FLOPS

UNIVERSIDAD AUTÓNOMA METROPOLITANA

Azcapotzalco

DIVISION C.B.I.

DEPARTAMENTO DE ELECTRÓNICA

LABORATORIO DE DISEÑO LÓGICO

PRACTICA No. 7

“CARACTERIZACIÓN DE FLIP FLOPS”

EQUIPO NO. 5

INTEGRANTES DEL EQUIPO

MENDOZA PESCADOR ALBERTO - 2133002992

MORA CALDERÓN ANDRÉS - 2123032433

URIARTE REYES ASHLEY – 2133033773

PROFESOR: FRANCISCO JAVIER SANCHEZ RANGEL

GRUPO: CEL03

Trimestre 15I

Fecha de entrega: 26 de marzo 2015

Page 2: CARACTERIZACIÓN DE FLIP FLOPS

OBJETIVOS:

1. Caracterizar un Flip-Flop de acuerdo con su tabla característica. 2. Caracterizar un Flip-Flop de acuerdo a su función característica. 3. Construir un Flip-Flop de un tipo a partir de uno de otro tipo. 4. Representar Flip-Flops en VHDL. 5. Armar un contador binario de 4 bits.

INTRODUCCIÓN TEÓRICA.

Circuitos Secuenciales.

En la figura no. 1 se muestra el diagrama a bloques de un circuito secuencial, el cual

se forma de la interconexión de un circuito combinacional y unos elementos de

almacenamiento. Los elementos de almacenamiento son circuitos capaces de

almacenar información binaria. La información binaria almacenada en estos

elementos define el estado del circuito secuencial en cualquier momento. El

diagrama a bloques demuestra que las salidas de un circuito secuencial son

funciones no sólo de las entradas, sino también del estado presente de los elementos

de almacenamiento. El siguiente estado de los elementos de almacenamiento

también es una función de las entradas y del estado presente.

Los circuitos secuenciales se sincronizan por medio de dispositivos de sincronía,

llamado generador de reloj, que produce un tren periódico de pulsos de reloj, tal y

como se muestra en la figura no. 2.

Page 3: CARACTERIZACIÓN DE FLIP FLOPS

Los circuitos secuenciales se clasifican en:

Circuitos Asíncronos: Depende de las entradas en cualquier instante y el orden

en el tiempo del cambio de las entradas.

Circuitos Síncronos: Se define por el conocimiento de sus señales en instantes

discretos de tiempo.

La sincronización de los circuitos secuenciales se puede realizar de varias maneras,

tal y como se muestra en la figura no 3.

Flip-Flops.

Algunos elementos de almacenamiento son conocidos como Flip-flops. Los Flip-flops

son celdas binarias capaces de almacenar un bit de información. En la figura no. 4

se muestra el diagrama a bloques de un Flip-flop.

Existe distintos tipos de Flip-flops, en la figura no. 5 se muestra las características de

los principales tipos de Flip-flops.

Page 4: CARACTERIZACIÓN DE FLIP FLOPS

DESARROLLO TEÓRICO

1. Obtener la tabla característica y diagrama de conexiones de los Flip-Flops contenidos en los circuitos integrados 7473 y 7474. Explicar con tus palabras toda la información que te proporciona la tabla de 7473 y 7474.

Circuito Integrado 7473 – DIAGRAMA DE CONEXIONES

Page 5: CARACTERIZACIÓN DE FLIP FLOPS

Tabla característica.

Explicación:

El C.I. 7473 contiene dos biestables de tipo J-K Master-Slave disparado por flanco de bajada.

Este circuito posee dos entradas de datos (J-K), y una entrada de reloj, independiente para cada biestable. Las salidas son complementarias. Los datos de las entradas son procesados después de un impulso completo de reloj. Mientras este permanece en nivel bajo el Slave está incomunicado del Master. En la transición positiva de reloj los datos de J y K se transfieren al master. En la transición negativa del reloj la información del Master pasa al Slave. Los estados lógicos de las entradas J y K debe mantenerse constantes mientras la señal de reloj permanece en nivel alto. Los datos se transfieren a la salida en el flanco de bajada de la señal de Reloj. Aplicando un nivel bajo a la entrada clear (CLR) la salida Q se pondrá a nivel bajo, independientemente del valor de las otras entradas.

Circuito Integrado 7474 – DIAGRAMAS DE CONEXIONES

Page 6: CARACTERIZACIÓN DE FLIP FLOPS

Tabla característica

INPUT tn+1 OUTPUT tn+1

S R D Q Q

H L H L H

L H X H L

L L X * *

H H H H L

H H L L H

CI 7474

El * indica que este estado no es estable.

EXPLICACIÓN:

Este circuito integrado contiene dos flip flop, Latch o básculas tipo D activadas por flanco positivo.

El funcionamiento del circuito para que tengamos el valor de la entrada “D” en la salida Q se hará cuando tengamos un flanco positivo en la señal de reloj “T”. El terminal “S” (Set) si la colocamos a nivel bajo nos pondrá la salida “Q” a nivel “1” y el terminal “R” al ponerlo a nievel bajo pasa la salida “Q” a estar a nivel “0”.Las salidas son Totem Pole.

2. Obtener un Flip-Flop D a partir de un Flip_flop JK.

El flip-flop tipo D recibe su nombre por la habilidad de transmitir "datos" a un flip-flop. Es básicamente un flip-flop JK con un inversor en alguna de sus entradas en este caso en J. El inversor agregado reduce el número de entradas de dos a uno. Este tipo de flip-flop se llama algunas veces bloqueador D con compuertas o flip-flop de bloqueo. La entrada CLK se le da a menudo la designación variable G (de gate) para indicar que esta entrada esta habilita el flip-flop de bloqueo para hacer posible que los datos entren al mismo. Las figuras siguientes muestran el funcionamiento interno de los Flip-Flop´s.

Figura No. 5. Diagrama flip-flop JK

Page 7: CARACTERIZACIÓN DE FLIP FLOPS

Figura. No. 6 Diagrama flip-flop D

3. Obtener un Flip-Flop T a partir de un Flip_flop JK.

El flip-flop T se obtiene del tipo JK cuando las entradas J y K se conectan para proporcionar una entrada única designada por T como se indica en las siguientes figuras. El flip-flop T, por lo tanto, tiene sólo dos condiciones. Cuando T = 0 (J = K = 0) una transición de reloj no cambia el estado del flip-flop. Cuando T = 1 (J = K = 1) una transición de reloj complementa el estado del flip-flop.

Figura No. 7. Flip-Flop J-K

Figura No. 8. Flip-Flop T

Page 8: CARACTERIZACIÓN DE FLIP FLOPS

4. Utilizando flip-flops 7473(JK), armar un contador binario de 4 bits. Dibujar las conexiones que se deben hacer en el circuito de la figura siguiente.

Para construir un contador binario , al observar que cada bit más significativo con

respecto a el siguiente menos significativo tenía una frecuencia 2 veces mayor por lo

que se decidió que el contador fuera asíncrono y cada vez que un bit del flip-flop

menos significativo hiciera dos cuentas el siguiente hiciera una y así sucesivamente

hasta llegar al cuarto bit.

Para lograr lo anterior es necesario observar la tabla de verdad del flip-flop JK donde

tendremos que hacer que cada vez que el pulso de reloj interactúe con el circuito

integrado del JK cambie, este estado podría decirse de oscilación cuando J y K son

“1” hará que siempre cada vez que el pulso de reloj venga los flip-flop cambien su

estado de Q a Q’ y así lograr un contador para un bit.

También para poder recetar todo el contador en cualquier momento se pusieron todos

los clr (clear) de los circuitos en paralelo, así a decisión del operador el contador será

puesto a ceros en cualquier momento.

Así conectado en cascada los flip-flop se obtuvo el circuito siguiente con lo que se

encontró un contador binario de 4 bits asíncrono:

Page 9: CARACTERIZACIÓN DE FLIP FLOPS

Diagrama de alambrado:

Figura No. 9 Diagrama de alambrado - contador binario 4 bits

5. Empleando la ecuación característica o la tabla característica, diseñe en VHDL un Flip-Flop

.

.

.

Architecture behavioral of flip flop j-k is begin Process(clk) Begin If(clk’event and clk=’1’ then q<=(j and not q) or (not k and q); q2<= not(j and not q) or (not k and q); end if; end process; end behavioral;

MATERIAL:

Circuitos integrados: 2-74LS73, 1-74LS74

4 LEDs.

Tableta de conexines.

Alambre telefónico.

Page 10: CARACTERIZACIÓN DE FLIP FLOPS

Cables de conexiones de alimentación.

Pinzas de punta, de corte y de pelar.

Manual TTL data Book.

EQUIPO:

Fuente de voltaje de 5 V.

Generador de funciones.

Tarjeta de desarrollo NEXYS 3.

DESARROLLO TEÓRICO

i. Comprobar experimentalmente el funcionamiento de los Flip-Flops 7473 y 7474, de acuerdo con su tabla y con Freloj = 10 Hz. FLIP FLOP 7473 (JK)

Cuando tenemos el caso en el que J = K = 1 entonces tenemos que el Flip-Flop se complementa. Ver figura no. 10 simulación

Figura No. 10 Simulación

Page 11: CARACTERIZACIÓN DE FLIP FLOPS

Diagrama de alambrado flip flop JK:

Figura No. 11. Diagrama de alambrado – Flip-Flop JK

FLIP FLOP 7474 (D)

Cuando J = K = 1; en el Flip-Flop se comporta sin cambio alguno al mantener el estado Q. Ver figura no. 12 simulación

Figura No. 12 Simulación

Page 12: CARACTERIZACIÓN DE FLIP FLOPS

Diagrama de alambrado flip flop D:

Figura No. 13. Diagrama de alambrado – Flip-Flop D

ii. Comprobar el funcionamiento del Flip-Flop tipo D obtenido en el inciso 2 del desarrollo teórico.

Al comprobar el funcionamiento del Flip-Flop tipo “D” su funcionamiento fue el

siguiente; cuando D = 1, la salida del Flip-Flop se va al estado uno, pero si D = 0

entonces la salida se va al estado cero. En consecuencia podemos notar que D = Q.

Tabla característica del Flip-Flop tipo D

Es importante hacer notar que este Flip-Flip tipo D está elaborado con el Flip-Flip tipo

JK el cual tiene como función evitar la indeterminación Q´= Q.

Page 13: CARACTERIZACIÓN DE FLIP FLOPS

Flip flp D a partir de un JK

Figura No. 14. Flip-Flop D

Diagrama de alambrado del flip-flop D a partir de un JK

Figura No. 15 Diagrama de alambrado – Flip-Flop D a partir de un JK

En la siguiente imagen se observa el funcionamiento del flip-flop tipo D, en el cual

enciende solo un led (en este caso el de color rojo), es decir solo enciende un led a

la vez ya que para que encienda el otro led tiene que cambiar el estado del primer

led.

Figura No. 16. Flip-Flop D a partir de un JK

Page 14: CARACTERIZACIÓN DE FLIP FLOPS

iii. Comprobar el funcionamiento del Flip-Flop tipo T obtenido en el inciso 3 del desarrollo teórico.

Analicemos que cuando T = 0 una transición de reloj no cambia el estado del Flip-

Flop, y cuando T = 1 la transición de reloj complementa el estado del mismo. Observe

que su ecuación característica es similar a la de una OR-Exclusiva.

Tabla característica del Flip-Flop tipo T

Flip-flop T a partir de un JK

Figura No. 17. Flip-Flop T

Diagrama de alambrado del flip-flop T a partir de un JK

Figura No. 18 Diagrama de alambrado – Flip-Flop T

Page 15: CARACTERIZACIÓN DE FLIP FLOPS

En la siguiente imagen se observa el funcionamiento del flip-flop tipo T, en el cual

enciende solo un led (en este caso el de color rojo) al estar el 1 del dip switch arriba,

se enciende el led que le corresponde a Q’. Por lo tanto cumple con los que se tiene

en la tabla característica.

Figura No. 19. Flip-Flop T a partir de un JK

iv. Comprobar el funcionamiento del contador binario obtenido en el inciso 4 del desarrollo teórico.

Para armar el circuito de alambrado del punto 4 que se construyó a base del análisis

de los flip-flops, se utilizaron resistencias de 220 ohm para evitar daños al circuito, 4

diodos led rojos para visualizar el conteo de los flip-flop puestos en cascada,2

circuitos 74LS73 (JK), Para nuestro caso utilizamos un circuito 555 para simular un

pulso de reloj donde se utilizaron 2 resistencias y un capacitor de valores arbitrario

hasta que la frecuencia fuera una donde se pudiera distinguir el conteo. El circuito

quedo armado de la siguiente manera:

Page 16: CARACTERIZACIÓN DE FLIP FLOPS

Figura No. 20 RTL - contador binario 4 bits

Una vez armado el circuito de alambrado se procedió a comprobar el conteo, cuando

se conectó el protoboard a la corriente se observó que efectivamente el contador

daba los valores desde el 0 hasta el 15 y regresaba a cero, además se podía resetear

en cualquier instante que se deseara hacerlo. Por lo que se comprobó que el circuito

de alambrado fue l correcto.

v. Implementar en VHDL el Flip-Flop JK

1.- Implementamos la función booleana para el flip-flop JK, q<=(j and not q) or (not k and q); q2<= not(j and not q) or (not k and q); a cada paso de reloj “clk”.

Page 17: CARACTERIZACIÓN DE FLIP FLOPS

2.- Sacamos la tabla de vedad asignando valores en JK y vemos el comportamiento

de este con cada paso de reloj.

3.- Esquematizamos el algoritmo

Page 18: CARACTERIZACIÓN DE FLIP FLOPS

4.-Asignamos nombres a los pines, el reloj va en la entrada “LOC=’V10’”, en nuestro

código le pusimos el nombre de clk100m, a “J” y a “K” le asignamos los switches

“T9” y “T10” respectivamente y las salidas “q” y “q2” e los LED “U16” y “V16”

respectivamente.

CONCLUSIONES

En la práctica que se realizó en el laboratorio de diseño lógico, se comprobó el

funcionamiento de algunos de los flip-flops como el JK, D y T, viendo sus estados

diferentes y analizando la tablas de verdad se pudo comprobar cuáles de estos eran

sus estados de oscilación y los de mantenerse en el mismo estado, también se

obtuvieron las funciones características de cada flip-flop utilizado con las salidas de

cada uno y así diferencia uno del otro. También se comprendió que a partir de

algunos flip-flop se pueden obtener otros diferentes, en nuestro caso obtuvimos flip-

flops D y T a partir del JK.

Por otra pare en VHDL se pudo representar un flip-flop con una serie de procesos en

los que se simulan algunos eventos, aquí mismo se pudo caracterizar un flip-flop JK

y se comprobó la tabla de verdad de este y su función característica.

Page 19: CARACTERIZACIÓN DE FLIP FLOPS

Además se dedujo a partir del análisis a los flip-flop JK, que estos pueden utilizarse

en muchos circuitos donde, a diferencia de los circuitos combinatorios, se necesiten

prestablecer reglas o ciertos estados para que funcionen de acuerdo a un

seguimiento, además de poder adquirir la capacidad de la memoria. Con lo que por

lo anterior se construyó un contador binario módulo 16 a partir de las características

que ofrece un flip-flop JK donde se observó y analizaron los resultados de los cuales

se comprobó el funcionamiento del contador armado.

Se concluyó que utilizando un análisis correcto de los estados, tablas de verdad, un

buen razonamiento del problema que se proponga y el uso de flip-flop, se pueden

construir circuitos que funcionen sin la entera dependencia de una perturbación de

algún operador, además de dar la capacidad de una memoria y poder funcionar de

acuerdo a una rutina que se diseñe previamente a el armado del circuito.

MENDOZA PESCADOR ALBERTO.

Caracterizamos varios flip flop de acuerdo a su función y de acuerdo a su función y

también hicimos los demás flip flop usando solo el JK y vimos que tenía una

indeterminación el flip flop JR y con los flip flop podemos armar coNtadores en

ascendente o descendente gracias a su paso de reloj por lo cual se haría como un

contador “automático” hasta dicho número binario, el cual se puede usar con u

convertidor 7 segmentos de display.

MORA CALDERON ANDRÉS

En esta práctica conocimos a los flip-flops y su funcionamiento, en base a la

información teórica que se investigó y a la obtenida en clase. Existes distintos tipos

de flips flops: Tipo D, Tipo T, Tipo JK y Tipo SR, los primeros 3 están formados

básicamente por el tipo SR, Cabe mencionar que cada flip-flop cumple una función

especial, mejora o corrige algún error, por ejemplo en el flip-flop tipo SR ocurre una

indeterminación cuando “S” Y “R” tienen el valor de “1” lógico y Q de 0 y 1, es decir

que Q=Q ´lo cual es incorrecto, para evitar esta indeterminación se creó el flip-flop

tipo D, el cual lleva un inversor al inicio para que no ocurra esta situación.

El flip-flop JK es universal debido a que forma parte de los flips-flops tipo D, T Y SR,

y que el tipo T fue parte fundamental en el circuito del contador.

Dicho todo lo anterior se cumplió con los tres primeros objetivos de esta práctica, es

decir, caracterizar flip flops mediante su función y tabla características. Al igual que

la construcción de un tipo de flip flop a otro.

En el VHDL la simulación se hizo de una forma más clara gracias a las tablas de

caracterización previamente obtenidas.

Se concluye que la utilización de Flip flops no sirve como memoria básica para

operaciones lógicas secuenciales, es decir para el almacenamiento y transferencia

de datos digitales.

URIARTE REYES ASHLEY

Page 20: CARACTERIZACIÓN DE FLIP FLOPS

BIBLIOGRAFIAS http://html.rincondelvago.com/electronica-y-circuitos-secuenciales.html

http://www.unicrom.com/dig_FF_JK.asp

http://www.unicrom.com/dig_FF_RS_nand.asp

http://logica-digital.blogspot.mx/2007/11/el-flip-flop-j-k-contadores.html

http://carteleras.webcindario.com/contador-ff.pdf