7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik...

22
KTL'00-01 7.1 eman ta zabal zazu ktl'2001 Informatika Fakultatea, EHU Konputagailuen Arkitektura eta Teknologia Saila KONPUTAGAILUEN TEKNOLOGIAKO LABORATEGIA 3. zatia: Sistema Digitalak (I) 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT, XOR HELBURUAK Txipak, LEDak, etengailuak eta abar kableatzea zirkuituak eraikitzeko. Oinarrizko zirkuitu digitalen portaera logikoaren analisia. JARDUERA 1. Oinarrizko zirkuitu logikoen kableatzea 2. Sistemaren portaeraren analisia ERABILI BEHARREKO MATERIALA (egiaztatu baduzuela material hori guztia) Ohiko laborategiko instrumentazioa. TTL ate logikoak: NAND 74LS00, NOT 74LS04, XOR 74LS86. 4 mikroetengailuko eta 4 LEDeko zokalo bereziak. 1. SARRERA Bigarren parteko lehenengo saio honetan zirkuitu logiko sinpleen eraikitzea landuko dugu: kableatzea, LEDen eta etengailuen erabilera eta abar. Horretarako, 3 aldagaiko 2 biteko funtzio logiko sinple bat eraiki behar duzu, eta NOT, NAND eta XOR ateak erabili beharko dituzu. Eraiki behar den funtzio logikoa oso sinplea da; sarrera gisa 3 biteko zenbaki natural bat (0tik 7ra) prozesatzen du, eta irteeran bi biteko informazioa ematen du: (a) zenbakia <2,6> tartean dagoen eta (b) zenbakia bikoitia den. Hauxe da funtzio horren egia-taula: Sarrera Irteera C B A Y1 (2x6) Y0 (bikoitia) 0 0 0 0 1 0 0 1 0 0 0 1 0 1 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 0 1 1 1 1 1 0 0

Transcript of 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik...

Page 1: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00-01 7.1

eman ta zabal zazu

ktl'2001

Informatika Fakultatea, EHU Konputagailuen Arkitektura eta Teknologia Saila

KONPUTAGAILUEN TEKNOLOGIAKO LABORATEGIA

3. zatia: Sistema Digitalak (I)

7. praktika

Sistema digitalak. Oinarrizko ateak: NAND, NOT, XOR

HELBURUAK

Txipak, LEDak, etengailuak eta abar kableatzea zirkuituak eraikitzeko. Oinarrizko zirkuitu digitalen portaera logikoaren analisia.

JARDUERA

1. Oinarrizko zirkuitu logikoen kableatzea 2. Sistemaren portaeraren analisia

ERABILI BEHARREKO MATERIALA (egiaztatu baduzuela material hori guztia)

• Ohiko laborategiko instrumentazioa. • TTL ate logikoak: NAND 74LS00, NOT 74LS04, XOR 74LS86. • 4 mikroetengailuko eta 4 LEDeko zokalo bereziak.

1. SARRERA

Bigarren parteko lehenengo saio honetan zirkuitu logiko sinpleen eraikitzea landuko dugu: kableatzea,

LEDen eta etengailuen erabilera eta abar. Horretarako, 3 aldagaiko 2 biteko funtzio logiko sinple bat eraiki behar duzu, eta NOT, NAND eta XOR ateak erabili beharko dituzu.

Eraiki behar den funtzio logikoa oso sinplea da; sarrera gisa 3 biteko zenbaki natural bat (0tik 7ra) prozesatzen du, eta irteeran bi biteko informazioa ematen du: (a) zenbakia <2,6> tartean dagoen eta (b) zenbakia bikoitia den. Hauxe da funtzio horren egia-taula:

Sarrera Irteera

C B A Y1 (2≤x≤6)

Y0 (bikoitia)

0 0 0 0 1 0 0 1 0 0 0 1 0 1 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 0 1 1 1 1 1 0 0

Page 2: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00-01 7.2

Bi biteko irteera (Y1, Y0) honela eman daiteke, CBA sarreren arabera:

AYCBABY

=

⊕+=

01

Bi funtzio horiek gauzatzeko honako zirkuitu hau eraiki behar duzu:

Beraz, zirkuitua eraikitzeko honako txip hauek erabili behar dituzu: 74LS00 (NAND), 74LS04 (NOT) eta 74LS86 (XOR). Hurrengo irudian txip horien barne eskema logikoa ageri da. Oro har, zirkuituen hankatxo-eskemak dokumentazioan dauzkazu.

Txip horiez gain, sarrera/irteerako gailu egokiak erabili behar dira; batetik, C, B, eta A sarrerak

adierazteko, eta, bestetik, Y1 eta Y0 irteerak “ikusteko”. Sarrerarako hiru etengailu erabiliko dituzu eta irteerak ikusteko bi LED diodo (erabil ezazu banatutako dokumentazioa etengailuak eta LEDak nola erabili behar diren ikusteko).

2. ZIRKUITUAREN ERAIKITZEA

Aurreko zirkuitu logikoa eraiki behar duzu. Hori egiteko, mahai gainean behar duzu:

-- aurrelanean egin duzun zirkuituaren planifikazioa: eskema etiketatua, konexio-zerrenda, .... -- txipen hankatxoen eskema (dokumentazioa) -- etengailuak eta LEDak dituzten zokaloen eskemak eta nola konektatu behar diren (dokumentaz.) -- eta, noski, gailuak berak.

Zirkuitua eraikitzeko, segi ezazu honako prozedura hau:

1. Sartu prototipo-txartelean hiru txipak, etengailuak eta LEDak. GOGORATU: txip horietan ate bat baino gehiago dago. Aukeratu erabili nahi dituzunak eta apuntatu (hor nonbait) zein aukeratzen dituzun.

2. Gailu guztiak txartelean sartuta, egin itzazu lehenengo konexioak: Vcc eta GND hankatxoak. Erabil itzazu beti goiko konexio-lerro horizontala 5 voltetarako eta behekoa 0 voltetarako. Kablerik motzenak eta txartelari doituak dira egokienak. Erabil itzazu guraizeak kablea mozteko!!

1 2 3 4 5 6 GND

Vcc 13 12 11 10 9 8

74LS04

1 2 3 4 5 6 GND

Vcc 13 12 11 10 9 8

74LS00

1 2 3 4 5 6 GND

Vcc 13 12 11 10 9 8

74LS86

Y1(H) → 2≤x≤6

Y0(H) → bikoitia

A(H)

B(H)

C(H)

Page 3: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00-01 7.3

3. Sortu 5 volt tentsio-iturrian eta, neurtu ondoren, eraman tentsioa prototipo-txarteleko bi bornetara. Handik, egin itzazu konexioak 5 volteko eta 0 volteko konexio-lerro horizontalekin. ADI: lerro horiek bi partetan daude banatuta; beharrez gero, egin itzazu lerroerdien arteko konexioak.

Neurtu tentsioa txip guztien elikadura-hankatxoetan eta egiaztatu konexioak ondo daudela. Gaizki badaude, zuzendu.

Egiaztatu, halaber, etengailuek sortzen dituzten balioak: etengailua goian dagoenean, irteeran 5 volt egon behar du, eta behean dagoenean 0 volt.

Ondoren, deskonektatu tentsio-iturria txarteletik, zirkuituen kableatzea egiteko.

4. Egin itzazu zirkuituko gainerako konexioak, modu sistematikoan (erabili zuk egindako konexio-eskema). Apuntatu egiten dituzun konexioak, baten bat ez ahazteko.

5. Konektatu sarrerak eta irteerak.

6. Konektatu berriz tentsio-iturria ADI: egiaztatu 5 volt daudela eta ez tentsio altu bat!!

7. Egiaztatu zirkuituaren portaera logikoa. Horretarako, eman sarreretako konbinazio guztiak banan-banan eta aztertu emaitza.

Baldin eta sarrerako konbinazio baterako emaitza zuzena ez bada, orduan errore bat dago, eta bilatu, aurkitu eta zuzendu behar da.

→ erabil ezazu voltmetroa txipen hankatxoetako tentsioak neurtzeko (sarrerak zein irteerak). → bilatu errorea modu ordenatuan. Normalean egokiena hauxe da: irteera ez bada espero dena,

neurtu irteera-hankatxoa; ondoren, irteera horri dagozkion sarrerak; ondoren, sarrera horiek sortzen dituzten beste zirkuituen irteerak eta abar (“atzera” beraz). Horrela, eta egon beharko liratekeen balioekin konparatuz (ondo dakizu zein izan behar den zirkuituaren portaera logikoa), erraza izango duzu errorea aurkitzea (konexio bat gaizki egina, ...).

8. Zirkuituaren portaera egokia dela egiaztatu ondoren, egin itzazu eskatzen diren neurketak eta bete ezazu ondoko taula. Eman tentsioak adibide honetan bezala:

4,2 V / H edo 0,1 V / L

Neurketak egin ondoren, idatzi taulan tentsio horiei dagokien balio logikoak ere: 0/F edo 1/T.

C(H) B(H) A(H) X1(H) X2(L) X3(L) Y1(H) Y0(H)

volt b.log volt b.log volt b.log volt b.log volt b.log volt b.log volt b.log volt b.log

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

Y1(H) → 2≤x≤6

Y0(H) → bikoitia

A(H)

B(H)

C(H) X1

X3

X2

Page 4: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 8.1

eman ta zabal zazu

ktl'2001

Informatika Fakultatea, EHU Konputagailuen Arkitektura eta Teknologia Saila

KONPUTAGAILUEN TEKNOLOGIAKO LABORATEGIA

3. zatia: Sistema Digitalak (II)

8. praktika

Batuketa / Kenketa

HELBURUAK

Batuketa/kenketa bitarraren analisia JARDUERA

1. Bit bateko batugailua 2. Batuketa/kenketa: n biteko batugailuak.

ERABILI BEHARREKO MATERIALA (egiaztatu baduzuela material hori guztia)

• Ohiko laborategiko instrumentazioa. • TTL ate logikoak: NAND 74LS00, XOR 74LS86, 4 biteko batugailua 74LS283 • 4 mikroetengailuko 2 zokalo, 4 LEDeko zokalo bat, LED bat, 330 Ω-eko erresistentzia.

OHARRA: Saiatu zirkuituen kableatze txukuna egiten: kable motzak, txartelari itsatsiak eta abar, praktika honetan aintzakotzat hartuko baitugu. Zirkuituak muntatzerakoan, aurrelanean egindako konexio-zerrenda eta muntaketa-planoa jarraitu beharko dituzu.

1. ERAGIKETA ARITMETIKO SINPLEENA: BATUKETA

Konputagailu baten zirkuitu aritmetiko sinpleena bit bateko zenbakien batugailua da, batugailu osoa

(full adder) izenekoa hain zuzen ere. Zirkuitu horrek hiru bit batzen ditu: bit bateko bi zenbaki, Bi eta Ai, eta aurreko batuketa batean lorturiko bururakoa, Ci. Emaitza gisa, bi bit sortzen ditu: batura bera, Si, eta bururakoa, Ci+1, hurrengo urrats batean erabil daitekeena. Beraz, hiru sarrerako –Ai, Bi eta Ci– eta bi irteerako –Si eta Ci+1– zirkuitua da. Irteerako bi bitak ondorengo adierazpen logikoen bidez lortzen dira:

Si = Ai ⊕ Bi ⊕ Ci Ci+1 = AiBi + Ci(Ai ⊕ Bi)

Irudiko zirkuituan bit bateko batugailu osoaren gauzatze jakin bat ageri da, 2 XOR ateen eta 3 NAND ateen bidez egina. Aurrelanean analizatu duzu zirkuitu horren portaera logikoa.

Bi

bit bateko batugailua

Ai

Ci

Si

Ci+1

Si

Ci+1

X

Y.L

Ai

Z.L

Ci

Bi

Page 5: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 8.2

→ EGIN BEHARREKOA: Eraiki ezazu bit bateko batugailua aurrelanean egin duzun planifikazioari jarraituz. Erabil itzazu horretarako konexio-zerrenda eta muntaketa-planoa. Aurreko saioan egin zenuen moduan,

• Sartu txartelean 74LS86 eta 74LS00 txipak, etengailuetako zokaloa eta 4 LEDeko blokea. • Konektatu euren elikadura-tentsioak: GND, beheko lerrora, eta VCC, goiko lerrora. ADI: begira ezazu dokumentazioan nola konektatu behar diren modu egokian LEDetako eta

etengailuetako zokaloak! • Erabil itzazu bi etengailu batu behar diren bi zenbakietarako, Bi eta Ai, eta beste bat

hirugarren sarrerarako, Ci. Eraman 5 volt prototipo-txartelera (tentsio-iturritik) eta egiaztatu etengailuen

funtzionamendua. Ondoren, deskonektatu tentsio-iturria txarteletik. • Egin itzazu zirkuituen arteko konexio guztiak, konexio-zerrendari jarraituz, modu

ordenatuan. • Bukatzeko, eraman bi irteerak bi LEDetara; jarri Ci+1 ezkerrean eta Si eskuinean.

GOGORATU: izan zaitez ordenatua kableatzea egitean; erabili ahalik eta kablerik motzenak, ez eraman kableak zirkuituen gainetik, eta abar; apuntatu zure konexio-zerrendan egiten dituzun konexioak, baten bat ez ahazteko, ...

Zirkuitua muntatu ondoren, haren funtzionamendua egiaztatu behar da. Hiru sarrera besterik ez dituenez, erraza da analizatzea sistemaren emaitza 8 sarrera-konbinazioetarako.

Neurtu polimetroaz taulan eskatzen diren seinaleen balioak. Adierazi emaitzak eskatzen den moduan: neurria / balio fisikoa / balio logikoa; esaterako: 4,21 V / H / 1

X Y.L Z.L Ci+1 Si Bi Ai Ci neurria / balio fisikoa / balio logikoa * balio logikoak 1/0

L 0 L 0 L 0

L 0 H 1 L 0

H 1 L 0 L 0

H 1 H 1 L 0

L 0 L 0 H 1

L 0 H 1 H 1

H 1 L 0 H 1

H 1 H 1 H 1 * adib. 4,21 V / H / 1

Bit bateko batugailuak seriean lotu daitezke n biteko zenbakiak batzeko, irudian ikusten den moduan.

Ez da batugailurik eraginkorrena (kalkulu-denboraren ikuspuntutik), baina bai sinpleena.

bit bateko batugailua

A0

C0

S0

C1bit bateko batugailua

A1

S1

C2

B1 B0

Page 6: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 8.3

2. BATUKETA / KENKETA 2RAKO OSAGARRIAN

Batuketa oinarrizko eragiketa da edozein konputazio-sistematan. Izan ere, n biteko batugailuak txip

batean MSI mailako zirkuitu komertzial arruntak dira; adibidez, 74LS283 txipa 4 biteko bi zenbakien batugailua da. Horrela ez dugu errepikatu behar, behin eta berriz, bit bateko batugailuen diseinua.

Bestaldetik, badakigu batuketa eta kenketa eragiketa bera direla, zenbaki negatiboen adierazpidea kontuan hartuta, 2rako osagarria gure kasuan.

Gogoratu: A zenbakia emanda, -A zenbakiaren adierazpena 2rako osagarrian hauxe da: not_A + 1.

Ondorioz, A – B egiteko, nahikoa da A + not_B + 1 egitea. Adib. 4 bitetan 3 → 0011 -3 → 1100 + 1 → 1101 7 – 3 = 0111 + 1100 + 1 = (1) 0100 = 4 Beraz, MSI mailako batugailu bat erabiliz, batugailu/kengailua 2rako osagarrian eraikiko dugu,

edozein konputagailuren unitate aritmetikoren nukleoa. Kontrol-seinale baten bidez aukeratu ahal izango dugu eragiketa: batuketa edo kenketa. Hauxe da zirkuitua:

B/K = 0 denean, orduan batuketak egiten dira (A xor 0 = A); aldiz, B/K = 1 denean, kenketak egiten

dira, kontuan harturik A xor 1 = not_A dela, eta sarrerako bururako-bitean 1eko bat gehitzen dela.

→ Zirkuitua eraiki baino lehen, eta aurretik egina ekarri duzun ariketan oinarrituta, bete ezazu ondoko taula:

kodea zenbakia kodea zenbakia 0000 0001 0010 0011 0100 0101 0110 0111

0 1000 1001 1010 1011 1100 1101 1110 1111

→ Erabil ezazu aurrelanean egindako planifikazioa eta konexio-zerrenda, eta eraiki ezazu batuketak zein kenketak egiten dituen zirkuitua. Erabil itzazu lau etengailu A zenbakirako eta beste lau B zenbakirako. K/B kontrol-seinalerako, erabil ezazu kable soil bat, 0 voltera zein 5 voltera modu erosoan eramateko nahikoa luze. Konekta itzazu lau LED (zokalo bat) emaitza ikusteko eta bosgarren LED bat (soltea) C4 bururako-bita ikusteko.

Izan zaitez ahalik eta txukunena zirkuituaren kableatzea egitean. Erabili horretarako landu duzun

informazioa.

4

4

4

A

B

C4 C0

B/K

Emaitza

Batugailua/Kengailua

A B

S

Page 7: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 8.4

ADI egon BITen ORDENAri: A eta B sarrerak 4 biteko zenbakiak dira: A4A3A2A1 eta B4B3B2B1 (zenbaki bakoitzaren eskuineko bita, A1 eta B1 -beste batzuetan A0 eta B0 izendatuko ditugu-, pisu txikienekoa da beti; hots, esangura gutxienekoa). Ordena hori dela eta, konekta itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan goiko ordenan ipini behar dituzula: A4/B4 ezkerretara eta A1/B1 eskuinetara. Batugailuaren irteerak ere ongi bistaratzeko ordena horixe bera erabili beharko duzu: ezkerreko LEDean S4, eta eskuinekoan S1.

Muntatu ondoren, egiaztatu zirkuituaren funtzionamendua batuketa eta kenketa batzuk eginez.

Zirkuitua probatu ondoren, egin itzazu ondoko taulan adierazten diren eragiketak eta idatz itzazu

emaitzak, hots, zirkuituaren erantzunak.

A B B/K C4 S

2 0010 3 0011 0 0 5 0101

7 1 1

-4 -3 1

-1 4 1

-2 -3 0

-3 5 1

6 2 0

-4 7 1

Adierazgarriak dira kasu horiek guztiak 4 bitekin? Zergatik? Eman ezazu beste adibide bat, non

emaitza ezin den adierazi.

Page 8: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 9.1

eman ta zabal zazu

ktl'2001

Informatika Fakultatea, EHU Konputagailuen Arkitektura eta Teknologia Saila

KONPUTAGAILUEN TEKNOLOGIAKO LABORATEGIA

3. zatia: Sistema Digitalak (III)

9. praktika

MSI mailako zirkuitu konbinazionalak

HELBURUAK

Konplexutasun ertaineko zirkuitu konbinazional bat eraikitzea, MSI mailako hainbat modulu (konparagailua, batugailua, multiplexorea, BCD/7 segmentu kode-bihurgailua) elkartuz.

JARDUERA

1. Konparagailuen azterketa. 2. Funtzio konbinazionalen eraikuntza MSI moduluen bidez. 3. 7 segmentuko digitu baten erabilera.

ERABILI BEHARREKO MATERIALA (egiaztatu baduzuela material hori guztia)

• Ohiko laborategiko instrumentazioa • TTL zirkuituak: 4 biteko konparagailua (74LS85); 2:1 multiplexoreak (74LS157); 4 biteko

batugailua (74LS283); BCD/7 segmentu deskodegailua (74LS47). • 4 LED-eko bloke bat, 4 mikroetengailuko 2 bloke • LED bat, 7 segmentuko digitu bat, 330 Ω-eko bi erresistentzia

OHARRA: Praktika honetan ere zirkuituaren kableatze txukuna hartuko da bereziki kontuan: kable motzak, txartelari itsatsiak eta abar. Prozesu horretarako behar den denbora geroxeago aise errekuperatuko da, zirkuituaren funtzionamendua egiaztatzean.

1. MSI MAILAKO FUNTZIO BATEN ERAIKUNTZA

Izan bedi ondoko funtzio logikoa:

Sarrerak: X, Y ;4 biteko bi zenbaki positibo, BCD kodean (0tik 9ra) Irteera: Z ;4 biteko zenbaki positiboa, BCD kodean. baldin X>Y orduan Z = (X + Y) div 2 bestela Z = X div 2

Saio honen helburua funtzio hori egiten duen MSI mailako zirkuitu digitala eraikitzea da,

konparagailuak, batugailuak, multiplexoreak eta abar erabiliz. Hurrengo irudian funtzioaren gauzatze bat

Page 9: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 9.2

ageri da (ez ahaztu: sistema digitaletan ez dago "soluzioa", funtzio bera egiten duten zirkuitu asko eta oso desberdinak eraiki daitezkeelako; jakiteko zein den egokiena irizpide bat markatu behar da: kostea, tamaina, txip-kopurua, erraztasuna, ...).

Ikusten duzunez, div 2 eragiketak ez du behar hardware berezirik, nahikoa delako desplazamendu "mekaniko" bat eskuinetara egitea, pisu txikieneko bita arbuiatzen delarik (konpara ezazu eragiketa hori eta 10 oinarrian antzekoa den /10 - edo x10 -).

Sistemaren erantzuna, Z, bi sarrerako multiplexore bateko irteeran lortzen da, non X/2 = 0 X3X2X1 edo (X + Y)/2 = S/2 = C4S3S2S1 aukeratzen den. (Kontuz!, prozesatzen diren zenbakien bitak adierazteko 0tik hasi gara; batugailuaren irteera, ordea, 1etik hasten da).

BATUG.

X(X3X2X1X0)

A B

S(S4S3S2S1)C4

3

4 4

0 1

YaukMUX 2:1

30

X

4

Y

4

KONPAR.

A B

A>B

> = <

0 1 0

4

BCD / 7 segmentu deskodegailua

7

Y(Y3Y2Y1Y0)

C0 0

Z(Z3Z2Z1Z0)

(S4S3S2)C4

7 segmentuzko digitua

(X3X2X1)

Emaitza, jakina, bitarrean lortzen da, 4 bitetan; beraz, nahikoa litzateke 4 LED konektatzea emaitza ikusteko. Hori egin beharrean, kode-bihurketa bat egingo dugu: BCD kodetik "7 segmentu" kodera. Dakizunez, 7 segmentu kodeak 7 bit ditu eta, digitu bati konektatuta, zenbakietarako erabiltzen ditugun ikurrak sortzen ditu.

Zirkuitu hori eraiki behar duzu, horretarako konparagailua (74LS85), batugailua (74LS283), bi

sarrerako multiplexorea (74LS157), BCD/7 segmentu deskodegailua (74LS47) eta digitu bat erabiliz. Lana errazteko asmoz, muntaketa pausoz pauso egin beharko duzu, eta pauso bakoitzean egiaztatu funtzionamendu partziala. Noski, zirkuitua eraikitzeko lehenago egin duzun konexio-zerrenda erabili beharko duzu!

→ Sartu sistemaren osagaiak (txipak, mikroetengailuak, ...) txartelean, aurrelanean egindako muntaketa-eskemaren arabera. Egin itzazu osagai horien guztien elikadura-konexioak.

→ Konparagailuaren muntaketa eta egiaztapena: 74LS85 txipa 4 biteko konparagailu bat da, eta haren funtzionamendua aztertu behar duzu

sistema osoa muntatu baino lehen. Zenbaki naturalak, positiboak, konparatzen ditu. Irteera gisa konparazioko hiru aukerak ematen ditu: (A > B), (A = B) eta (A < B).

4 biteko moduluen bitartez edozein bit-kopurutako zenbakiak konparatu ahal izateko, konparagailuak beste batekin kateatzeko sarrera bereziak ditu. EZ NAHASTU GERO, lotze-sarrera horiek irteerek duten izen bera dute eta!

Page 10: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 9.3

Egin itzazu 74LS85 txipak behar dituen konexio guztiak: erabil itzazu A eta B datuentzat lau mikroetengailuko bloke bana, eta 3 irteerentzat 3 LED diodo (zokalokoak). Lotze-sarreretarako kable bana erabili, 0 edo 5 voltekin zuzenki konektatzeko.

Konexio guztiak egin ondoren, egin itzazu ondoan adierazten diren probak, eta bete taula emaitzekin.

sarrerak datuak serieko konexioak

irteerak

A B A>B A=B A<B A>B A=B A<B 0 0 1 1 1 0 1 1 0 1 0

1 0 0 1 1 0 0 1 0 1 0

0 1 1 0 0 1 0 1 0 1 0

1 0 0 1 1 1 0 0 1 0 0

0 0 1 1 0 0 1 1 0 1 0

0 0 1 1 0 0 1 1 1 0 0

0 0 1 1 0 0 1 1 0 0 1

Erakutsi emaitza zure irakasleari. Probak egin ondoren, konekta itzazu serieko konexioko sarrerak dagokien balioetan, kontuan

hartuz konparagailu bakarra erabiliko duzula. Kendu irteeran jarritako LEDak, baina utzi konektatuta LED soil bat (ez ahaztu erresistentziaz) konparagailuko (A>B) irteeran.

→ Batugailuaren eta multiplexorearen muntaketa eta egiaztapena Egin itzazu batugailuari eta multiplexoreari dagozkien konexioak. Erabili planifikazioa eta

konexio-zerrenda (kontuz biten ordenarekin!). Eraman lau multiplexoreen irteerak lau LEDetara. Egin itzazu beharreko konexio guztiak!

Zirkuituaren funtzionamendua egiaztatu behar duzu orain. Horretarako, egin itzazu probak hurrengo taulan agertzen diren 3 kasuekin, emaitzak zuzenak izan arte.

X Y X>Y? (konp.) Batugailuko irteera C4 – S (5 bit)

MUX-eko irteera Z (4 bit)

6 0110 3 0011

2 0010 5 0101

9 1001 9 1001

OHARRA: Agian emaitzak ez dira espero dituzunak, konexioak egitean egindako erroreren bat dela medio. Normala da. Orain egin behar duzuna horixe bera da: errorea aurkitu eta zuzendu. Zirkuitu logikoa denez, erraza da errore bat bilatzea, haren portaera logikoa ezagutzen duzulako. Polimetroaz neurtu behar dira txipen irteerak eta sarrerak, baita elikadura-tentsioen balioak ere, funtzionamendu zuzenarekin bat ez datozenak aurkitu arte.

Erakutsi emaitza zure irakasleari.

→ 7 segmentuko digituen erabilpena. Saioa bukatzeko, zirkuituaren emaitza beste formatu batean adieraziko dugu, ez bitarrean, digitu

baten “formarekin” baizik, 7 segmentuko digitu baten bidez. Digitu batean 7 LED besterik ez dago, zeinek geometrikoki zenbaki bat marrazten duten. LED

horiek (begiratu zirkuitu-orria) a, b, c, d, e, f eta g deitzen dira (badago 8. LED bat, puntu dezimala markatzen duena hain zuzen ere, dp).

Digitu bat erabili ahal izateko, kode bitarra 7 segmentu kodera itzuli behar da. Esaterako, 0000 kodea beste hau bihurtu behar da: abcdefg = 1111110. Kodeen arteko bihurketa hori

Page 11: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 9.4

deskodegailu jakin baten bidez egiten da, BCD/7 segmentu deskodegailua, 74LS47 txipa. Zirkuitu horren sarrerak eta irteerak hauek dira (begira zirkuitu-orria): Sarrerak:

DCBA: 7 segmentu kodera itzuli nahi den zenbaki bitarra. L-T/RBI/RBO: zenbait kontrol-ekintzetarako erabiltzen diren sarrerak; konektatu

hirurak 5 V-era. Irteerak:

a,b,c,d,e,f,g: 7 segmentuak, logika negatiboan (L = 0 V, aktibatuta) Beheko irudian deskodegailua eta digitua ageri dira. Segmentu bati dagokion konexioa egina

dago.

7-segmentuko digitua

e d A c dp

g f A a b

ab

c

d

e

f

g

B C

L-T RBO RBI

D A

GND

Vcc f.L g.La.L b.L c.L d.L e.L

BCD-7 Segmentu

47

16

15

14

13

12

11

10

9

1

2

3

4

5

6

7

8

5 V330Ω

Digitua osatzen duten 7 LEDek hanka bat konpartitzen dute, anodoa edo katodoa. Erabiliko

duzun digituan anodoa (A) da hanka komuna. Hori dela eta, anodo komuneko digitua esaten zaio. Beraz, digitua osatzen duten LED guztiak logika negatiboan konektatu behar dira, anodoa 5 voltera eta katodoa deskodegailuaren irteeretara, tartean erresistentzia bana jarriz. 7 erresistentzia konektatu beharrean, erresistentzia bakar bat konekta daiteke anodo komunean (nahiz eta argi gehiago edo gutxiago izango duten piztu behar diren kopuruaren arabera, LED guztiek erresistentzia bera konpartituko dute eta). Puntu dezimala eta beste anodo-konexioa airean utz daitezke.

BCD/7 segmentu deskodegailua eta digitua konektatu behar dituzu irteeran (Z). Ondoren, egin

itzazu beheko taulako probak eta idatzi emaitzak.

X Y X>Y? (Konp.) Batugailuaren irteera

(5 bit!) Digitua

6 0110 3 0011

2 0010 5 0101

9 1001 9 1001

0 0000 7 0111

6 0110 4 0100

9 8

7 8

0 0

1 0

8 6

9

Page 12: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 10.1

eman ta zabal zazu

ktl'2001

Informatika Fakultatea, EHU Konputagailuen Arkitektura eta Teknologia Saila

KONPUTAGAILUEN TEKNOLOGIAKO LABORATEGIA

3. zatia: Sistema Digitalak (IV)

10. praktika

Oinarrizko zirkuitu sekuentzialak: sekuentziadoreak

HELBURUAK

Sekuentziadoreak edo egoera-makinak edozein sistema digitalen kontrol-unitatearen oinarria dira. Saio honetan kontrol-unitate sinple bat eraikiko eta aztertuko dugu, eta horrekin batera oinarrizko gailu sinkronoak, D biegonkorrak.

JARDUERA

1. 4 egoerako automata bat sortzea. ERABILI BEHARREKO MATERIALA (egiaztatu baduzuela material hori guztia)

• Ohiko laborategiko instrumentazioa • TTL zirkuituak: 4:1 multiplexoreak (74LS153); D biegonkorrak (74LS74); 2:4 deskodegailua

(74LS139); NOR ateak (74LS02). • 5 LED, 4 mikroetengailuko bloke bat, pultsadore bat • 330 Ω-eko 5 erresistentzia, 1 KΩ-eko erresistentzia bat

0. ERLOJU-SEINALEA

Aurreko laborategi-saioetan zirkuitu konbinazionalak landu ditugu. Zirkuitu horietan sarrerek

definitzen dute uneoro erantzuna. Saio honetan, aldiz, zirkuitu sekuentzialak aztertuko ditugu, zeinetan sistemaren egoera ere hartzen den kontuan erantzuna emateko. Hitz gutxitan, badute "memoria".

Zirkuitu sekuentzial sinkronoek kontrol-seinale orokor bat erabiltzen dute, erlojua. Erloju-seinaleak adieraziko du noiz prozesatu behar diren sarrerak irteera-balio berria sortzeko; gainerako denboran irteera ez da aldatuko. Erloju-seinalea maiztasun jakineko pultsu-segida bat da, eta pultsu horiek (normalean igoera-ertzak) erabiltzen dira sistemaren eboluzioa kontrolatzeko.

Praktika honetatik aurrera erloju-seinalea erabili beharko da eta horretarako THANDAR sorgailua erabiliko dugu, pultsu bakar bat sortzen duen funtzioa hain zuzen ere. Beraz, izan eskura erloju-sorgailuari buruz banatu den dokumentazioa.

→ Eraman sorgailuaren seinalea osziloskopiora eta egiaztatu sorgailuaren funtzionamendua bi

moduetan: pultsuz pultsu eta maiztasun jakineko seinale karratua.

Page 13: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 10.2

1. EGOERA-MAKINA BAT D BIEGONKORREN ETA MULTIPLEXOREEN BIDEZ

Hurrengo irudietan 4 egoerako egoera-makina sinple bat ageri da. 2 sarrera prozesatzen ditu, X eta Z,

eta kontrol-seinale bat sortzen du, KS1. Kontrol-algoritmoari dagokion egoera-taula duzu alboan. Behean egoera-makinaren gauzatze bat ageri da. 2 multiplexorek “hurrengo egoera” izango dena

sortzen dute, eta, erloju-ertza heltzen denean (clk), biegonkorretan kargatzen da, “oraingo egoera” izateko. Egoera bi bitetan adierazten da, B eta A. Deskodegailu batek deskodetzen du egoera-kodea eta irteeran lau egoerak eskaintzen ditu, E0-tik E3-ra.

KS1 kontrol-seinalea sortzeko NOR ate bat eta NOT ate bat erabili dira. Bi txip ez erabiltzeko, NOT atea NOR ate baten bidez egingo dugu.

Reset* seinale asinkrono batek itzularazi egiten du egoera-makina hasierako egoerara, E0 kasu honetan. Pultsadore batez sortuko dugu.

OE BA

HE B’A’ baldintza

Mux-en sarrerak MuxB MuxA

00 01 11

notX X 0 → X 1

01 00 10

notX X → 1 → X 0

10 11 - 2 → 1 1

11 00 11

notZ Z 3 → Z Z

→ KS1 = E3 Z

(ez daude adierazita seinale guztiak)

Gaurko saioko lana hauxe duzu, aurreko egoera makina eraiki eta probatu, funtzionamendua egokia izan dadin. Urrats hauek proposatzen dira lana aurrera ateratzeko:

A. Zirkuitua eraiki Sistema digital baten eraikitzeak, batik bat kableatzen bada prototipo-txarteletan, atentzio

minimo bat eskatzen du, erraza baita hanka sartzea. Beraz, egin ezazu lana kontzentrazio minimo batez. Baldintza horietan, zirkuituaren eraikitzeak ordu bateko lana izan beharko luke, ez gehiago.

Honako lan-banaketa egokia da: lagun batek, konexio-zerrenda, txip-orriak eta abar erabiliz, esaten du nondik nora konektatu behar den; besteak, konexioak egiten ditu. Jarraitu urrats hauek:

E0

E1

E2

E3 X

X Z

0 1

0

0 1 1

Reset.L*

KS1

X X 1 Z auk

Y

1 0 1 Z auk

Y

D1 D0

Q1 Q0

B

A

clk

Reset.L(pultsadore bat)

0 1 2 3

0 1 2 3

B

A

0

1

2

3B,A

E0E1E2E3

4:1 Multiplexoreak D Biegonkorrak 2:4 Deskodegailua

Z

KS1

5 volt 4 LED

LED bat

cl

Page 14: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 10.3

1. Sartu txipak txarteletan: etengailuak, multiplexoreak, biegonkorrak, deskodegailua, eta NOR ateak, aurrelanean egin duzun planifikazioaren arabera.

2. Egin itzazu lehenengo konexioak, hau da, Vcc eta GND, txip guztietan.

3. Zenbait zirkuitutan, multiplexoreetan eta deskodegailuetan esaterako, kontrol-seinale bereziak erabiltzen dira, zirkuituak gaitzeko: gaikuntza (enable, E, G, ...). Ez badira erabiltzen kontrol-seinale gisa, balio jakin batean utzi behar dira, zirkuituak funtziona dezan: hots, aktibatu behar dira. Beraz, egin itzazu konexio horiek. Hartu kontuan zein logikatan dauden!

4. Zirkuitu sinkronoek erabiltzen duten kontrol-seinale nagusia erlojua da. Konekta itzazu clk hankatxoak haien artean, eta eraman konexio bat txarteleko borne batera, non konektatuko dugun gero erloju-sorgailua.

5. Biegonkorrek, eta oro har sistema sinkrono guztiek, memoria dutenez gero, badituzte kontrol-seinale bereziak edukia hasieratzeko. Seinale horiek asinkronoak dira ia beti, eta beraz ezin dira konektatu gabe utzi. GOGORATU: asinkronoa den seinale bat uneoro prozesatzen da eta ez erlojuak adierazten duenean.

Biegonkorretan bi seinale hauek erabiltzen dira: CLEAR eta PRESET. Clear seinaleak 0ko bat kargatzen du biegonkorrean, eta Preset seinaleak 1eko bat.

Edozein unetan, eta erlojuaren egoera kontuan hartu gabe, nahi dugun egoerara eraman ditzakegu biegonkorrak.

Adibide honetan kontrol-algoritmoaren hasiera-egoera E0 (00) denez, hasieratzea Clear funtzioaren bidez egin dezakegu. Eta horretarako RESET izeneko seinale bat erabiliko dugu. Normalean hasieratze-seinaleak pultsadore batez eraikitzen dira. Eta hori da egin behar duzuna.

Beraz, muntatu pultsadore bat eta eraman seinalea CL hankatxoetara. ADI: CL seinaleak logika negatiboan daude, eta beraz pultsadorea logika negatiboan muntatu behar duzu. Begira ezazu dokumentazioan nola egiten den.

Bukatzeko, desaktibatu (faltsua/0) Preset seinaleak, erabili behar ez badira ere ezin baitira konektatu gabe utzi.

6. Ondoren, zirkuituaren kableatzeri ekin beharko diozu. Erabil ezazu aurrelanean egindako konexio-zerrenda; izan zaitez ordenatua eta apuntatu marrazkiaren gainean edo konexio-zerrendan egiten dituzun konexioak, gero bat ez ahazteko. Egin ezazu kableatze txukuna. Kontuz biten ordenarekin, A beti pisu txikienekoa da!

7. Bukatzeko, konekta itzazu LEDak: lau, egoerak ikusteko, eta bosgarren LED bat KS1 seinalea ikusteko. ADI: egoera adieraziko duten LEDak logika negatiboan konektatu behar dira, horrela baitaude deskodegailuaren irteerak; KS1seinalea adieraziko duena, aldiz, logika positiboan doa. Begira ezazu dokumentazioan nola konektatu behar den LED bat (ez ahaztu erresistentzia).

8. Errepasatu zirkuituen hankatxoak eta egiaztatu egin direla egin behar ziren konexio guztiak.

B. Funtzionamendu-probak

1. Sortu 5 volt tentsio-iturrian eta eraman prototipo-txarteletara.

2. Neurtu tentsioa Vcc eta GND hankatxoetan, zirkuitu guztietan. Erroreren bat detektatzen bada, zuzendu.

3. Neurtu tentsioa PR, CL eta gaikuntza seinaleetan eta egiaztatu ondo daudela.

4. Konektatu erloju-sorgailua txarteletara. ADI: konprobatu erloju-hankatxoetan dauden konexioak ondo daudela, ez daudela beste hankatxoekin zirkuitulaburtuta. Prestatu sorgailua pultsu bakan bat emateko (begiratu dokumentazioa).

5. Sakatu Reset pultsadorea egoera-makina E0 egoerara eramateko.

6. Dena prest dago egoera-trantsizio guztiak probatzeko, zikloz ziklo. Ondoko probek algoritmoko bide guztiak korritzen dituzte. Egiaztatu betetzen direla espero diren trantsizioak.

Page 15: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 10.4

Litekeena da sistemak ez funtzionatzea espero duzun bezala; beraz, arazketa-prozesu bati ekin beharko diozu erroreak aurkitu eta zuzentzeko. Zorionez, sistemaren portaera logikoa ezagutzen duzu eta gainera zikloz ziklo maneia dezakezu.

Errore bat detektatzean, kokatu sistema errorea emango duen egoeran eta, erloju-ziklorik eman gabe, neurtu polimetroarekin: multiplexorean egoerari dagokion sarrera, haren irteera, biegonkorren sarrerak, irteerak, ... gaizki dagoena aurkitu arte.

Hori baino lehen, egiazta ezazu beti 5 eta 0 volteko hankatxoak, cl, pr, gaikuntzak eta abar.

1. proba-sorta erlojua egoera KS1 Reset - X=0 ↑

↑ ↑ ↑

2. proba-sorta erlojua egoera KS1 Reset X=1 ↑ Z=0 ↑

↑ ↑

3. proba-sorta erlojua egoera KS1 Reset X=0 ↑ X=1 ↑

↑ ↑

4. proba-sorta erlojua egoera KS1 Reset X=1 ↑ Z=1 ↑

↑ Z=0 ↑

Funtzionamendua zuzena denan, erakutsi lana irakasleari. 7. Bukatzeko. Demagun aldatu nahi dugula sistemaren hasieratzea, eta orain hasiera-egoera E2

izango dela. Egin itzazu aldaketak hori lortzeko. Azaldu egindakoa.

E0

E1

E2

E3X

X Z

0 1

0

011

Reset.L*

KS1

1. pr

2. pr

3. pr 4. pr

Page 16: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'99/2000 11.1

eman ta zabal zazu

ktl'2001

Informatika Fakultatea, EHU Konputagailuen Arkitektura eta Teknologia Saila

KONPUTAGAILUEN TEKNOLOGIAKO LABORATEGIA

3. zatia: Sistema Digitalak (V)

11. praktika

Hiruegoerako erregistroak: erregistro-multzoa

HELBURUAK

Zirkuitu sinkronoen artean erabilienetako bat erregistroa da. Askotan, prozesadoreen erregistroek erregistro-multzo bat edo memoria txiki bat osatzen dute. Horietako bat eraikiko dugu saio honetan. Lehendabizi, hiruegoerako ateak aztertuko ditugu, oinarrizko neurketak eginez. Helburua, azken batean, bus kontzeptua eta busen erabilera lantzea da.

JARDUERA

1. Erregistro-multzo baten eraikuntza eta egiaztapena. 2. Hiruegoerako bufferra: tentsioen eta korronteen neurketak.

ERABILI BEHARREKO MATERIALA (egiaztatu baduzuela material hori guztia)

• Ohiko laborategiko instrumentazioa • TTL zirkuituak: hiruegoerako buffer bat (74LS244), 4 hiruegoerako erregistro (74LS173), 2:4

deskodegailu bat (74LS139) • 4 LEDeko bloke bat, 4 etengailuko 2 bloke • 1 KΩ-eko erresistentzia bat

1. HIRUEGOERAKO IRTEERADUN GAILUAK: BUFFERRA

Dokumentazioan irakurriko zenuen legez, transistore bipolarrak dituzten zirkuitu integratuen irteeran

totem-pole (kontrafase-anplifikadorea) etapa bat edo hiruegoerako etapa bat izango dugu (beste batzuen artean). Lehenengo kasuan bi irteera-balio izango ditugu, 1 eta 0; bigarrenean, aldiz, hiru: 1, 0 eta Z (inpedantzia altua edo deskonexio birtuala).

Portaeraren ikuspuntutik, Z egoeran dagoen zirkuituak ez du konexio bat egina beste inongo zirkuiturekin (korrontea 0 da). Hori dela eta, erraza da hainbat gailu bus komun batera konektatzea (multiplexoreak erabili gabe), ziurtatzen badugu uneoro gailu bakar batek izango duela bere irteera aktibatuta, beste guztiak Z egoeran izanik.

Gogora ezazu ezin dela hori egin, hots, bi irteera konektatzea, TTL zirkuitu arruntak erabiliz!; bai, aldiz, hieruegoerako zirkuituak erabiliz.

Zirkuitu batek ez badauka hiruegoerako irteera, erraza da aukera hori gehitzea, irteeran hiruegoerako buffer bat gehituz (ikus irudia). Buffer horren funtzioa sinplea da: uzten du pasatzen zirkuitu estandarraren irteera, 1 edo 0, edo mozten du irteera hori eta Z egoeran uzten du. Kontrol-seinale bat erabiltzen da horretarako, G (edo OE).

TTL irteera (1,0)

hiruegoerako irteera (1,0,Z)

G edo OE

Page 17: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'99/2000 11.2

→ Zirkuitu horien portaeraren analisia egiteko buffer baten irteerako tentsioa eta korrontea neurtuko ditugu, zirkuitua gaitua (1 edo 0) eta desgaitua (Z) dagoenean.

Sartu txartelean 74LS244 txipa, non hiruegoerako 8 buffer dagoen, 4ko bi multzotan banatuta: 1Ai → 1Yi eta 2Ai → 2Yi. Hankatxoen eskema zirkuitu-orrian daukazu.

Aukeratu buffer bat, konekta ezazu karga-erresistentzia bat irteeran, eta egin beheko taulan aipatzen diren neurketak, bi kasu hauetarako:

5 V

0 V

G.L

1 KΩ 5 V

G.L

¿I/V?

1 KΩ¿I/V?

1. kasua 2.kasua

gaitua (G = 1) I (mA) V (V)

desgaitua (G = 0) I (mA) V (V)

1. kasua

2. kasua

2. ERREGISTRO-MULTZO BATEN DISEINUA.

Datu-multzo batekin lan egin behar denean erregistroa ez da nahikoa eta beste egituraren bat behar

da: erregistro-multzoa, datu-kopurua oso altua ez bada, edo memoria, informazio-kopuru altuak maneiatu behar badira. Aipaturiko bi sistemen barne-egitura tipikoak hiru atal hauek ditu: helbidearen deskodeketa, datuen metaketa eta irteeraren hautaketa (ikus dokumentazioa).

Egitura hori egokia da hitz- edo erregistro-kopurua oso altua ez bada; bestela, irteeran jarri behar den multiplexorea handiegia izan daiteke. Kasu horietan hiruegoerako irteerak erabiltzen dira. Horiei esker posible da konektatzea n erregistro edo memoria-gelaxka irteera komun batera, busera; hori bai, ziurtatzen bada gailu guztiak, edo guztiak bat izan ezik, Z egoeran daudela.

Hurrengo irudian erregistro-multzo txiki baten diseinua ageri da.

LD0 LD1

OE0OE1

D1-0

Q1-0 R0

clk

LD0 LD1

OE0OE1

D1-0

Q1-0

R1

clk

LD0 LD1

OE0OE1

D1-0

Q1-0

R2

clk

LD0 LD1

OE0OE1

D1-0

Q1-0 R3

clk

0 1 2 3DESKOD

B, A

HEL1-0

DAT_IN1-0

WR.L

RD.L

DAT_OUT1-0

G.L 1

Page 18: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'99/2000 11.3

Irudian ikusten den moduan, erabiliko dugun erregistroak bikoiztuta ditu kontrol-seinaleak: bi LD (karga) eta bi OE (output enable, irteera gaitu). Erregistroan datu bat kargatzeko bi LD seinaleak aktibatu behar dira; era berean, irakurketa bat egiteko bi OE seinaleak aktibatu behar dira.

Erregistro jakin batekin lan egiteko helbidea eman behar da, adibide honetan bi bitekoa, HEL1-0. Helbidea erabiliz, sarrerako deskodegailuak erregistro jakin bat prestatzen du, idazketarako zein irakurketarako, LD0i eta OE0i seinaleak aktibatuz.

Idazketa bat egiteko, nahikoa da WR seinalea aktibatzea. Horrek erregistro guztien LD1 seinalea aktibatzen duen arren, erregistro bakar batean idatziko da sarrerako datua (IN1-0), helbideak adierazitakoan hain zuzen ere, horixe baita LD0 eta LD1 bi seinaleak aldi berean aktibatuta dituen erregistro bakarra.

Irakurketa bat egiteko, berriz, nahikoa da RD seinalea aktibatzea, arrazoi berarengatik baina kasu honetan OE seinaleak dira aktibatzen direnak; erregistro guztiak bat izan ezik, deskodegailuaren bitartez aukeratu dena, Z egoeran daude. Gogoratu: OE seinaleen bidez hiruegoerako-kontrola lortzen da: OE = 0 bada, irteera Z egoeran izango da; biek egon behar dute aktibatuta irteera aktiboa izan dadin.

→ Eraiki ezazu erregistro-multzo hori. Erabil itzazu hiruegoerako 4 erregistro, 74LS173, eta

deskodegailu bat, 74LS139. Kableatzea sinplifikatzeko 2 biteko datuak erabiliko ditugu. Erabil itzazu bi etengailu WR eta RD seinaleak sortzeko, beste bi helbidea adierazteko, eta beste

bi, bi biteko datuak, IN1-0, emateko.

Erabil ezazu aurrelanean egindako konexio-zerrenda. Izan ahalik eta txukunena zirkuitua kableatzen. Konekta itzazu bi LED irteeran.

OHARRA: desaktibatu (false) erregistro guztien CL seinaleak, ez baititugu erabiliko. Adi

egon; clear seinale horiek, salbuespen gisa, logika positiboan daude.

→ Zirkuitua eraiki ondoren, exekuta itzazu eragiketa batzuk funtzionamendua egiaztatzeko; zuzendu erroreak, eta bete ezazu ondo taula. U = undefined (definitu gabea).

Kasu guztietan, ez ahaztu erloju-zikloez! HEL1-0 IN1-0 Erregistroen edukia (ham.) OUT1-0

WR.L RD.L ham. bit. ham. bit. R0 R1 R2 R3 ham. bit. U U U U 1 0 3 0 1 0 2 1 (clk) 1 0 1 2 → 1 0 0 3 0 1 2 0 0 1 0 2 1 0 3 3 0 1 3 2 → Bukatzen baduzu, saia zaitez zirkuitua 4 bitetara zabaltzen. Azaldu nola egin duzun eta eman

funtzionamenduaren adibideren bat (aurreko taulan bezala).

Page 19: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 12.1

eman ta zabal zazu

ktl'2001

Informatika Fakultatea, EHU Konputagailuen Arkitektura eta Teknologia Saila

KONPUTAGAILUEN TEKNOLOGIAKO LABORATEGIA

3. zatia: Sistema Digitalak (eta VI)

12. praktika

MSI mailako sistema sinkrono oso bat

HELBURUAK

Sistema logiko oso bat eraikitzea, kontrol-unitatea eta prozesu-unitatea. JARDUERA

1. Biderkatzaile sinple bat eraikitzea ERABILI BEHARREKO MATERIALA (egiaztatu baduzuela material hori guztia)

• Ohiko laborategiko instrumentazioa • TTL zirkuituak: 2:1 multiplexoreak (74LS157); D biegonkorrak (74LS175); NAND ateak

(74LS00); 4 biteko erregistro bat (74LS194); 4 biteko batugailua (74LS283); 4 biteko U/D kontagailua (74LS669)

• 4 LED-eko bloke bat, 4 mikroetengailuko bi bloke, 2 pultsadore • 1 KΩ-eko 2 erresistentzia

1. MSI MAILAKO ZIRKUITU SEKUENTZIALAK: biderkatzailea

Aurreko laborategi-saio batean bit bateko memoria duten gailuak landu ditugu, biegonkorrak, eta

haiekin egoera-makina sinple bat sortu. Saio honetan sistema digital oso bat eraikiko dugu, kontrol-unitatea eta prozesu-unitatea. Prozesu-unitatean zirkuitu konbinazional arruntak (multiplexoreak, deskodegailuak, batugailuak, ...) izango ditugu, eta horrez gain erregistroak ere. Dakizun moduan, hiru dira erregistro-mota nagusiak: erregistro soilak, desplazamendu-erregistroak eta kontagailuak. Azkeneko bietan, n biteko datu bat gordeaz gain, edukiaren gainean eragiketa sinpleak egin daitezke: bitak desplazatzea ezkerrera edo eskuinera, eta edukia gehitzea/kentzea (+1/-1).

Aurrelanean landu duzun zirkuitua eraiki behar duzu. Kontrol-unitatea sinplea da, bi egoera besterik ez baitu. Prozesu-unitatean <erregistro – batugailu> bikotea eta kontagailu bat dauzkagu. Lehenengo bikotearen bidez metagailu bat definitzen da, batuketa metatuak egin ahal izateko; kontagailuak kontrolatuko du noiz bukatzen den prozesua. Hori dela eta, sistema hori biderketak egiteko erabil daiteke, algoritmo sinple bat erabiliz: A x B = A + A + A + ... B aldiz.

Hauek dira sistemaren sarrerak eta irteerak: Sarrerak BID: bit bat, biderketa egin behar dela adierazteko A eta B: 4 biteko bi zenbaki, biderkatu behar direnak Irteerak Emaitza: 4 biteko zenbakia, biderkadura (AxB)

Sistema osoa hurrengo irudian ageri da.

Page 20: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 12.2

OE A

HE A’ baldintza

Mux-aren sarrerak

0 0 1

not_Bid Bid → 0 → Bid

1 0 1

Buk not_Buk 1 → not_Buk

Kontrol-seinaleak

Ld_K = Cl_R = E0 Bid = A Bid

Dek_K = Ld_R = E1 Buk = A Buk

Kontrol-automatak bi egoera ditu, eta BID kanpo seinalea prozesatzen du. Lau kontrol-seinale sortzen

ditu: bi, kontagailu bat kontrolatzeko (Ld_K eta Dek_K), eta beste bi, erregistro bat kontrolatzeko (Cl_R eta Ld_R). Prozesu-unitateak erabiltzen ditu kontrol-seinale horiek biderketa gauzatzeko, eta seinale bat itzultzen dio kontrol-unitateari, Buk, eragiketa bukatu den ala ez adierazteko.

clk

clk

Ld_R

batug.

Reg

KontB A

S

D Q ld

cl Cl_R(L)

ldenp

4

d

4

4

4 Ld_K(L) Dek_K(L)

A B Prozesu-unitatea

Emaitza

Buk(L)

E0

E1

Bid

Buk

0 1

0 1

Reset.L*

Cl_R, Ld_K

Ld_R, Dek_K

auk Y D

QE1

clk

Reset.L(pultsadore bat)

0

1 Q

Ld_K(L)

Dek_K(L)

Buk(L) Cl_R(L)

Bid

Kontrol-unitatea

Ld_R Bid

Buk(L)

rco

cl

Page 21: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan

KTL'00/01 12.3

2. ZIRKUITUAREN ERAIKITZEA

Aurrekoa bezalako sistema logiko bat eraikitzeko aukera asko dago, txip bat baino gehiago aukera

daitekeelako funtzio logiko jakin bat sortzeko. Hauek dira erabiliko dituzun txipak zirkuitua osatzeko: -- Kontrol-unitatean:

74LS157: 2 sarrerako multiplexoreak. Txipean 4 datoz; bakar bat erabili behar duzu. Aukeratze-seinalea 1. hankatxoan dago. Ez ahaztu gaikuntza-seinalea konektatzen!

74LS175: 4 D biegonkor. Bakarra erabili behar duzu. Biegonkor guztiek konpartitzen dituzte erloju-seinalea eta clear seinalea.

74LS00: 4 NAND ate. Hiru erabili behar dituzu, NOT atea NAND ate baten bidez egin behar duzulako.

-- Prozesu-unitatean:

74LS283: 4 biteko batugailua. Hartu kontuan biten ordena: 1 (edo 0) beti pisu txikienekoa da. Ez ahaztu C0 seinalea.

74LS194: 4 biteko erregistroa. Berez, desplazamendu-erregistro bat da, baina zirkuitu honetan erregistro soil gisa erabiliko dugu. Kontrol-seinale nagusiak S1 eta S0 dira; biak lotuta (S1 = S0) Ld seinale bat lortzen da. SLSI eta SRSI serieko datu-sarrerak dira. Utzi kasu honetan konektatu gabe. Cl hasieratze-seinale arrunta da.

74LS669: 4 biteko U/D kontagailua. Kontagailuan gorantz edo beherantz konta daiteke. Kontrol-seinale nagusiak hauek dira:

LD, 4 biteko datu bat kargatzeko; ENP, kontatzeko seinalea; U/D (up/down), kontaketaren noranzkoa (H gorantz/L beherantz); ENT/RCO, serieko konexioak bit gehiagoko kontagailuak sortzeko. Kasu honetan

kontagailu bakarra erabiltzen dugu, eta beraz ENT = 1 izan behar da. RCO seinaleak abisatzen digu kontaketa bukatu dela (0ra edo 15era heldu dela, kontaketaren noranzkoaren arabera).

-- Sarrera/irteera: 8 etengailu, A eta B zenbakiak sortzeko 4 LED, emaitza ikusteko pultsadore bi, BID eta Reset seinaleak sortzeko

Erabili irudiko muntaketa-eskema eta eraiki zirkuitua. Jarrai itzazu aurreko saioetan

ikasitako urratsak zirkuitua eraikitzeko. Zirkuitu-kopurua hazten den heinean, gero eta garrantzitsuagoa da kableatze txukuna egitea eta kontzentrazioz lan egitea.

LABORATEGI-SAIO HONETAN EGINDAKO DISEINUA, ERAIKITZE-PROZESUA,

PROBAK ETA EMAITZAK, TXOSTEN TEKNIKO BATEAN AURKEZTU BEHAR DITUZU. EPEA TXOSTENA EMATEKO: ASTE BAT. LUZERA MAXIMOA: 4 ORRI.

mux D bieg.

Kont nand batugerreg

eteng

led

eteng

Page 22: 7. praktika Sistema digitalak. Oinarrizko ateak: NAND, NOT ...€¦ · itzazu mikroetengailuetatik datozen lau sarrerak batugailuaren sarrera egokiekin, kontuan hartuz mikroetengailuetan