Curso de Electronica Digital

101
ELECTRÓNICA ELECTRÓNICA DIGITAL DIGITAL 1

Transcript of Curso de Electronica Digital

Page 1: Curso de Electronica Digital

ELECTRÓNICAELECTRÓNICA

DIGITALDIGITAL

1

Page 2: Curso de Electronica Digital

OBJETIVOS:

Los objetivos del curso son:

Conceptos básicos de electrónica digital.

Sistemas de numeración y códigos y conversiones entre los diferentes sistemas.

Operaciones aritméticas básicas (suma, resta, división y multiplicación).

Familias lógicas de los circuitos integrados.

Que son las compuertas lógicas, tipos y comportamiento.

Definición y concepto de circuitos combinacionales.

Funcionamiento de codificadores, decodificadores, multiplexores y demultiplexores,.

Tipos de displays.

Contadores décadas y binarios.

Manejo del equipo de medición y prueba digitales.

Definición y concepto de circuitos secuenciales.

Tipos de flip-flops y comportamiento.

Registros de desplazamiento y almacenamiento.

Tipos de memorias semiconductoras.

Variedad de dispositivos de lógica programable y arquitectura interna.

Operación del convertidor de señales analógicas a digitales.

Operación del convertidor de digital a analógico.

Principios de funcionamiento de transductores.

Arquitectura y partes de un sistema digital.

2

Page 3: Curso de Electronica Digital

ELECTRONICA DIGITAL

Objetivos--------------------------------------------------------------------------------------------------------1

CAPITULO ICONCEPTOS BÁSICOS DE ELECTRÓNICA DIGITAL

Concepto digital------------------------------------------------------------------------------------------------2Concepto de bit-------------------------------------------------------------------------------------------------2Circuito digital--------------------------------------------------------------------------------------------------3Estados lógicos-------------------------------------------------------------------------------------------------3Circuitos integrados--------------------------------------------------------------------------------------------4Tecnologías de fabricación------------------------------------------------------------------------------------6

CAPITULO IISISTEMAS DE NUMERACIÓN Y CÓDIGOS

Códigos----------------------------------------------------------------------------------------------------------7Sistema Decimal------------------------------------------------------------------------------------------------7Sistema Binario-------------------------------------------------------------------------------------------------7Sistema Octal---------------------------------------------------------------------------------------------------8Sistema Hexadecimal------------------------------------------------------------------------------------------8Sistema BCD----------------------------------------------------------------------------------------------------8Conversiones entre sistemas----------------------------------------------------------------------------------9

CAPITULO IIIARITMÉTICA BINARIA BÁSICA

Suma binaria----------------------------------------------------------------------------------------------------11Resta binaria----------------------------------------------------------------------------------------------------11Multiplicación binaria-----------------------------------------------------------------------------------------12División binaria-------------------------------------------------------------------------------------------------13Complemento a uno--------------------------------------------------------------------------------------------13Complemento a dos--------------------------------------------------------------------------------------------14Resta binaria con complemento a dos-----------------------------------------------------------------------14

CAPITULO IVFAMILIAS LÓGICAS

Familias lógicas------------------------------------------------------------------------------------------------15Familia lógica TTL--------------------------------------------------------------------------------------------15Familia lógica CMOS------------------------------------------------------------------------------------------16Manejo de dispositivos CMOS-------------------------------------------------------------------------------16Interfaces lógicas-----------------------------------------------------------------------------------------------17

3

Page 4: Curso de Electronica Digital

CAPITULO VCOMPUERTAS LÓGICAS

Compuertas lógicas--------------------------------------------------------------------------------------------18Compuerta AND-----------------------------------------------------------------------------------------------18Compuerta OR--------------------------------------------------------------------------------------------------19Compuerta NOT------------------------------------------------------------------------------------------------19Compuerta YES------------------------------------------------------------------------------------------------19Compuerta NAND---------------------------------------------------------------------------------------------19Compuerta NOR------------------------------------------------------------------------------------------------20Compuerta XOR------------------------------------------------------------------------------------------------20Compuerta XNOR---------------------------------------------------------------------------------------------20Implementación de funciones con compuertas básicas----------------------------------------------------20Implementación de funciones con lógica NAND y NOR-------------------------------------------------22Simplificación de funciones----------------------------------------------------------------------------------23

CAPITULO VICIRCUITOS LÓGICOS COMBINACIONALES

Introducción-----------------------------------------------------------------------------------------------------28Codificadores---------------------------------------------------------------------------------------------------28Decodificadores------------------------------------------------------------------------------------------------28Multiplexores---------------------------------------------------------------------------------------------------30Demultiplexores------------------------------------------------------------------------------------------------30Circuitos comparadores---------------------------------------------------------------------------------------30Sumadores binarios--------------------------------------------------------------------------------------------31Restadores binarios--------------------------------------------------------------------------------------------33Sumador/restador de 4 bits------------------------------------------------------------------------------------34

CAPITULO VIIDISPLAYS

Introducción-----------------------------------------------------------------------------------------------------35Display de siete segmentos-----------------------------------------------------------------------------------35Display de cristal líquido--------------------------------------------------------------------------------------35Clasificación de los displays por el número de dígitos----------------------------------------------------36

CAPITULO VIIICONTADORES

Contador---------------------------------------------------------------------------------------------------------37Contadores asíncronos-----------------------------------------------------------------------------------------37Contadores sincronos------------------------------------------------------------------------------------------37Contador década (7490)---------------------------------------------------------------------------------------38Contador década ascendente/descendente (74190)--------------------------------------------------------39Contador binario (7493)---------------------------------------------------------------------------------------39

4

Page 5: Curso de Electronica Digital

CAPITULO IXEQUIPO DE MEDICIÓN Y PRUEBA DE SEÑALES DIGITALES

Multímetro digital----------------------------------------------------------------------------------------------40Osciloscopio----------------------------------------------------------------------------------------------------40Generador de funciones---------------------------------------------------------------------------------------41Analizador lógico----------------------------------------------------------------------------------------------41Punta lógica-----------------------------------------------------------------------------------------------------41

CAPITULO XCIRCUITOS LÓGICOS SECUENCIALES

Introducción-----------------------------------------------------------------------------------------------------42Biestables--------------------------------------------------------------------------------------------------------42Latches-----------------------------------------------------------------------------------------------------------42Flip-flops--------------------------------------------------------------------------------------------------------43Flip-flop RS-----------------------------------------------------------------------------------------------------44Flip-flop maestro/esclavo-------------------------------------------------------------------------------------44Flip-flop tipo “D”----------------------------------------------------------------------------------------------45Flip-flop tipo “T”-----------------------------------------------------------------------------------------------45Flip-flop JK-----------------------------------------------------------------------------------------------------46

CAPITULO XIREGISTROS

Concepto--------------------------------------------------------------------------------------------------------47Registro de almacenamiento----------------------------------------------------------------------------------47Registro de desplazamiento-----------------------------------------------------------------------------------47Registro S.I.S.O.------------------------------------------------------------------------------------------------48Registro S.I.P.O.------------------------------------------------------------------------------------------------48Registro P.I.S.O.------------------------------------------------------------------------------------------------49Registro P.I.P.O.------------------------------------------------------------------------------------------------49Registro de desplazamiento universal-----------------------------------------------------------------------49

CAPITULO XIIMEMORIAS

Definición-------------------------------------------------------------------------------------------------------51Clasificación de las memorias semiconductoras-----------------------------------------------------------51Memorias ROM------------------------------------------------------------------------------------------------51Memorias RAM------------------------------------------------------------------------------------------------52Memoria de 64 bits---------------------------------------------------------------------------------------------52

CAPITULO XIIIDISPOSITIVOS DE LÓGICA PROGRAMABLE

Introducción-----------------------------------------------------------------------------------------------------54Simbología de la lógica programable------------------------------------------------------------------------54PROM’s---------------------------------------------------------------------------------------------------------55

5

Page 6: Curso de Electronica Digital

PAL’s------------------------------------------------------------------------------------------------------------56PLA’s------------------------------------------------------------------------------------------------------------57

CAPITULO XIVCONVERTIDORES ANALÓGICOS A DIGITAL

Introducción-----------------------------------------------------------------------------------------------------58Teoría del muestreo--------------------------------------------------------------------------------------------58Error de cuantización------------------------------------------------------------------------------------------59Diagrama lógico de un convertidor A/D--------------------------------------------------------------------60

CAPITULO XV

CONVERTIDORES DIGITALES A ANALÓGICO

Introducción-----------------------------------------------------------------------------------------------------61Parámetros de los convertidores D/A------------------------------------------------------------------------61

CAPITULO XVITRANSDUCTORES

Introducción-----------------------------------------------------------------------------------------------------62Transductor-----------------------------------------------------------------------------------------------------62Sensores captadores de la intensidad luminosa-------------------------------------------------------------63Sensores o transductores de temperatura--------------------------------------------------------------------65Transductores de campo magnético--------------------------------------------------------------------------66Transductores de presión--------------------------------------------------------------------------------------67

CAPITULO XVIISISTEMA DIGITAL

Introducción-----------------------------------------------------------------------------------------------------68Sistema digital--------------------------------------------------------------------------------------------------68Unidad central de proceso-------------------------------------------------------------------------------------69Memoria central------------------------------------------------------------------------------------------------69Dispositivos de entrada/salida--------------------------------------------------------------------------------70

6

Page 7: Curso de Electronica Digital

CONCEPTOS BÁSICOS DEELECTRÓNICA DIGITAL

COMPRENSION DEL CONCEPTO DIGITAL

La electrónica digital ha sido una revolución tecnológica muy importante y decisiva de las últimas décadas. Su evolución vertiginosa ha cambiado el ritmo de nuestro tiempo y representa el liderazgo tecnológico de la vida moderna.

Fig. 1.1 Sistemas digitales.

CONCEPTO DE BIT.

La electrónica digital puede definirse como la parte de la electrónica que estudia los dispositivos, circuitos y sistemas digitales, binarios o lógicos.

A diferencia de la electrónica lineal o analógica que trabaja con señales analógicas que pueden adoptar una amplia gama de valores de voltaje, los voltajes en electrónica digital están restringidos a adoptar uno de dos valores llamados niveles lógicos alto y bajo o estados 1 y 0.

Generalmente, un nivel lógico alto ó 1, corresponde a la presencia de voltaje y un nivel lógico bajo ó 0 corresponde a la ausencia del mismo.

7

Page 8: Curso de Electronica Digital

En la realidad, los circuitos digitales no son más que una combinación de muchos interruptores, extremadamente rápidos, que se cierran o abren en un momento dado, formando determinados patrones de unos (1’s) y ceros (0’s) que se emplean para muchos propósitos dentro de los aparatos electrónicos.

En los circuitos digitales prácticos, los estados lógicos 1 y 0 corresponden a dos niveles de voltaje claramente definidos. La salida de un circuito digital asume únicamente uno de estos dos valores en respuesta a una o más entradas que pueden estar indistintamente en alto o en bajo.

En terminología digital, los niveles o estados lógicos 1 y 0 se denominan bits. La palabra bit es una contracción de binary digit (dígito binario). Todos los sistemas digitales electrónicos manejan información en forma de bits.

Un bit 1 ó 0 puede representar la condición prendida o apagada de una lámpara, el estado cerrado o abierto de un interruptor, la presencia o ausencia de un agujero en una tarjeta perforada, etc.

BIT 0 – 1BYTE 8 BITS

WORD (PALABRA) 16 BITS

Tabla 1.1

El prefijo Kilo en electrónica digital es igual a 1,024, y el prefijo Mega es igual a 1,048,576 ;por lo tanto 1 Kilobyte es igual a 8192 bits y un Megabyte es igual 8 388 608 bits.

CIRCUITO DIGITAL

Los circuitos digitales o lógicos trabajan con señales que pueden adoptar únicamente uno de dos valores posibles. En un instante dado, las entradas y salidas de un circuito digital están en alto o en bajo pero no en un valor intermedio.

Debido a su característica de adoptar solamente uno de dos valores posibles, los circuitos digitales se utilizan con éxito en aplicaciones donde se requiere precisión y confiabilidad.

El bit es la unidad básica de información de cualquier sistema digital, desde la más simple compuerta hasta el más sofisticado microcomputador.

Un circuito digital puede tener una o más entradas y una o más salidas. El nivel o estado lógico de cada salida depende del estado de cada una de las entradas y de la función específica para la que ha sido diseñado el circuito.

Los circuitos digitales se pueden implementar en la práctica mediante componentes discretos o en forma integrada.

Los circuitos de componentes discretos son los constituidos de transistores, resistencias, diodos, condensadores y otros dispositivos individuales interconectados sobre una tarjeta. En un circuito integrado, todos los componentes se fabrican conjuntamente sobre una pastilla de silicio o chip.

NIVELES DE VOLTAJE Y ESTADOS LÓGICOS

En todos los circuitos digitales prácticos los estados lógicos 1 y 0 se implementan con niveles de voltaje. Estos niveles tienen rangos muy definidos, separados por una zona de valores inválidos.

8

Page 9: Curso de Electronica Digital

Fig. 1.2 Niveles de voltaje.

En la figura anterior, el nivel bajo válido es el rango de voltajes entre V 0 y V1, mientras que el nivel alto válido es el rango de voltajes entre V2 y V3.

Los voltajes superiores a V3 o inferiores a V0 son generalmente perjudiciales para los dispositivos digitales y deben evitarse. Generalmente, V0 corresponde a un nivel de 0 V. y V3 al valor del voltaje de alimentación.

La zona de niveles inválidos entre V1 y V2 es crítica. En esta área, los circuitos digitales trabajan en forma errática porque no saben que hacer. Un voltaje en ese rango o puede ser interpretado como un 1 lógico o como un 0 lógico o no producir efecto alguno.

Los niveles de voltaje en los circuitos integrados digitales varía de acuerdo con la familia lógica (TTL o CMOS) a la que pertenece el dispositivo.

CIRCUITOS INTEGRADOS

La principal razón por la que los sistemas digitales hayan adquirido tanta popularidad y sean cada vez más sofisticados, compactos y económicos ha sido el alto grado de perfeccionamiento logrado en el desarrollo en masa de circuitos integrados.

Prácticamente, todos los equipos digitales modernos se fabrican usando circuitos integrados.

Un circuito integrado o C.I. es aquel en el cual todos los componentes, incluyendo transistores, diodos, resistencias, condensadores y alambres de conexión, se fabrican e interconectan completamente sobre un chip o pastilla semiconductora de silicio.

Las cápsulas plásticas son más livianas pero las cerámicas son más resistentes y pueden trabajar a más altas temperaturas.

Una pastilla típica tiene aproximadamente de 2.5 a 6.5 mm. de lado y 0.5 mm. de espesor. Los chips digitales más pequeños contienen varios componentes sencillos como compuertas, inversores y flip-flops. Los más grandes contienen circuitos y sistemas completos como contadores, memorias, microprocesadores, etc.

9

Page 10: Curso de Electronica Digital

La mayoría de los circuitos integrados digitales vienen en presentación tipo DIP (Dual In-line Package) o de doble hilera. El pin número 1 se identifica mediante una ranura o un punto grabado en la parte superior de la cápsula. La enumeración de los pines se realiza en sentido contrario al de las manecillas del reloj.

Fig. 1.3 Circuito integrado.

Las configuraciones más comunes de los circuitos integrados digitales tipo DIP son las de 8, 14, 16, 24, 40 y 64 pines. Las dos últimas contienen generalmente microprocesadores y otras funciones digitales relativamente complejas.

Fig. 1.4 Configuración de los circuitos integrados.

La cápsula trae impresa la información respecto al fabricante, la referencia del dispositivo y la fecha de fabricación. Cada fabricante de circuitos integrados se identifica mediante un logotipo distintivo. La referencia designa específicamente al dispositivo.

Serie 74 Número de unidad por tipo funcional

DM 74 LS 08 N J Empaque de cerámicaW empaque simpleN empaque plástico

Fabricante Característica

SN Texas Instrument H (Alta potencia)MC Motorola LS (Baja potencia)DM National Sin letras (estándar)IM Intersil S (Schottky de alta velocidad)N Signetics L (Baja potencia)MM Monolithic Memories HC (CMOS de alta velocidad)

10

Page 11: Curso de Electronica Digital

P IntelH HarriesF Fairchild

Fig. 1.5 Descripción de un circuito integrado.

En la presentación tipo DIP, los pines de acceso se encuentran espaciados entre sí 2.5 mm. Para efectos de montaje experimental los circuitos integrados pueden insertarse en un protoboard o tablero sin soldaduras.

Fig. 1.6 Encapsulado tipo DIP.

Además del tipo DIP, existen otras presentaciones comunes de los circuitos integrados digitales como la cápsula metálica (TO-5), la plana y el “chip carrier". La TO-5, aunque es muy resistente, está siendo reemplazada en muchos casos por empaques plásticos, que son más livianos.

Actualmente se dispone de una gran variedad de circuitos integrados digitales que utilizan cápsulas SMT (Surface Mount Technology) o de montaje superficial. Los chips SMT son casi 4 veces más pequeños que los DIP equivalentes y no requieren de perforaciones para su instalación.

Fig. 1.7 Encapsulado tipo SMT.

La miniaturización introducida por la tecnología de montaje superficial o SMT es la que ha permitido por ejemplo, obtener calculadoras del tamaño de una tarjeta de crédito.

Este tipo de encapsulado es cada vez más popular y en el futuro será uno de los más empleados por su sencillez de manufactura y otras ventajas especialmente económicas.

TECNOLOGÍAS DE FABRICACIÓN

Los circuitos integrados digitales se pueden clasificar en dos grandes grupos de acuerdo al tipo de transistores utilizados para implementar sus funciones internas de conmutación en bipolares y MOS.

Los circuitos integrados digitales bipolares se fabrican con transistores bipolares tipo NPN y PNP y los de tipo MOS utilizan MOSFET´s (transistores de efecto de campo de compuerta aislada).

11

Page 12: Curso de Electronica Digital

SISTEMAS DE NUMERACIÓN Y CÓDIGOSSISTEMAS DE NUMERACIÓN Y CÓDIGOSCÓDIGO

Un código es un grupo de símbolos que representan algún tipo de información reconocible. En los sistemas digitales, los códigos se emplean para manipular datos y representar números, letras, signos y otros caracteres en forma binaria, es decir como una combinación equivalente de niveles altos (1’s) y bajos (0’s).

SISTEMA DECIMAL

El sistema decimal tiene la base 10, debido a que usa diez dígitos (0, 1, 2, 3, 4, 5, 6, 7, 8, 9) y que los coeficientes son multiplicados por potencias de diez.

1) El número decimal 645810 se puede representar de la siguiente manera:

645810 = (6x103) + (4x102) + (5x101) +(8x100) 645810 = (6x1000) + (4x100) + (5x10) + (8x1) 645810 = 6000 + 400 + 50 + 8 645810 = 6458

2) El número decimal 0.35610 se representa de la siguiente manera:

0.35610 = (3x10-1) + (5x10-2) + (6x10-3) 0.35610 = (3x0.1) + (5x0.01) + (6x0.001) 0.35610 = 0.3 + 0.05 + 0.006 0.35610 = 0.356

3) El número decimal 345.7110 queda de la siguiente manera:

345.7910 = (3x102) + (4x101) + (5x100) + (7x10-1) + (9x10-2) 345.7910 = (3x100) + (4x10) + (5x1) + (7x0.1) + (9x0.01) 345.7910 = 300 + 40 + 5 + 0.7 + 0.09 345.7910 = 345.79

SISTEMA BINARIO.

El sistema binario es un sistema que solamente emplea dos dígitos que son el “1” y el “0”.

1) El equivalente decimal del número binario 110102 es:

110102 = (1x24) + (1x23) + (0x22) + (1x21) + (0x20) 110102 = (1x16) + (1x8) + (0x4) + (1x2) + (0x1) 110102 = 16 + 8 + 0 + 2 + 0 110102 = 2610

Observar que al convertir el número a decimal, los números ceros ya no los representamos puesto que cualquier cantidad multiplicada por cero es igual a cero, pero si hay que tomarlos en cuenta en lo que a posiciones se refiere.

2) El equivalente decimal del número binario 0.112 es:

0.112 = (1x2-1) + (1x2-2) 0.112 = (1x0.5) + (1x0.25)

12

Page 13: Curso de Electronica Digital

0.112 = 0.5 + 0.25 0.112 = 0.7510

3) El equivalente decimal del número binario 1111.0112 es:

1111.0112 = (1X23) + (1X22) + (1X21) + (1X20) + (1X2-2) + (1X2-3) 1111.0112 = (1x8) + (1x4) + (1x2) + (1x1) + (1x0.25) + (1x0.125) 1111.0112 = 8 + 4 + 2 + 1 + 0.25 + 0.125 1111.0112 = 15.37510

SISTEMA OCTAL.

El sistema octal tiene la base o raíz 8. Solamente se emplean los dígitos 0,1,2,3,4,5,6,7.

1) El equivalente decimal del número octal 5678 es:

5678 = (5x82) + (6x81) + (7x80)5678 = (5x64) + (6x8) + (7x1)5678 = 320 + 48 + 7

5678 = 37510

SISTEMA HEXADECIMAL.

Este sistema tiene base 16, y emplea el 0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F. Las letras representan los siguientes números: A=10, B=11, C=12, D=13, E=14, F=15.

1) El equivalente decimal del número hexadecimal FE7H es:

FE7H = (Fx162) + (Ex161) + (7X160) FE7H = (15x162) + (14x161) + (7X160) FE7H = (15x256) + (14x16) + (7x1) FE7H = 3840 + 224 + 7 FE7H = 407110

SISTEMA BCD.

En los instrumentos electrónicos digitales, en las calculadores modernas, en los juegos electrónicos y en muchos equipos digitales similares, se emplea para la entrada y salida de información la notación decimal. Los circuitos digitales como contadores, decodificadores y demás implementan este tipo de entrada y salida con la ayuda de un código binario especial llamado BCD. En el código BCD (Binary Coded Decimal: decimal codificado en binario), cada dígito decimal se convierte en su correspondiente número binario de cuatro bits. Estos bits toman su valor o peso según la columna o posición que ocupan. El bit LSB toma el valor de 1, los dos siguientes hacia la izquierda, toman los valores de 2 y 4 respectivamente y el bit MSB el valor de 8.

13

Page 14: Curso de Electronica Digital

Tabla 2.1 Equivalencia entre el sistema decimal y BCD.

1) El equivalente en BCD del número decimal 4657 es:

465710 = 0100 0110 0101 0111BCD

2) El equivalente en BCD del número decimal 5148 es:

514810 = 0101 0001 0100 1000BCD

CONVERSIÓN ENTRE LOS DIFERENTES SISTEMAS NUMERICOS.

Entre los diferentes sistemas numéricos se pueden realizar conversiones, es decir, podemos representar un número de cierto sistema en otro sistema. Algunas conversiones se pueden realizar de manera directa y otras no.

DECIMAL A BINARIO

El procedimiento para convertir un número decimal entero a binario es:

1. Dividir el número decimal entre dos, y el residuo será el número binario menos significativo.2. El cociente obtenido se divide nuevamente entre dos, y el residuo será el siguiente número

binario.3. Se repite el paso dos, hasta que el cociente tenga valor de cero.4. Los números binarios se acomodan a partir del menos significativo hacia la izquierda.

El procedimiento para convertir un número decimal fraccionario es el siguiente:

1. Se multiplica la parte fraccionaria por dos.2. El producto obtenido, la parte entera obtenida (1 ó 0) es la que forma el número binario, y la

parte fraccionaria se vuelve a multiplicar por dos.3. Se repite el paso dos hasta que la parte fraccionaria sea cero o cuando uno crea conveniente.4. El número binario se va tomando tal y como se obtiene la parte entera y se acomodan de

izquierda a derecha.

DECIMAL A OCTAL

El procedimiento para convertir un número decimal a octal, es el mismo que para el sistema binario, con la excepción que se divide el número decimal entre ocho.

DECIMAL A HEXADECIMAL

El procedimiento para convertir un número decimal a hexadecimal, es el mismo que para el binario y octal, solo que ahora se divide entre 16, es muy importante recordar que: A=10, B=11, C=12, D=13, E=14, F=15.

14

Page 15: Curso de Electronica Digital

BINARIO A OCTAL

Para convertir de binario a octal, solo basta agrupar al número binario en grupos de tres dígitos empezando del bit menos significativo hacia el bit más significativo.

Tabla 2.2 Equivalencia entre el sistema binario y octal.

BINARIO A HEXADECIMAL

Para convertir de binario a hexadecimal solo basta agrupar a los dígitos del número binario de cuatro en cuatro del menos significativo al más significativo.

Tabla 2.3 Equivalencia entre el sistema binario y el hexadecimal.

OCTAL A BINARIO

Para realizar la conversión solo hay que representar cada número octal en su equivalente binario de acuerdo a la tabla de equivalencia entre el sistema binario y octal. (ver tabla 2.2 ).

OCTAL A HEXADECIMAL

Los pasos para realizar la conversión son:

1. Convertir el número octal a binario.2. Convertir el número binario a hexadecimal.

HEXADECIMAL A BINARIO

15

Page 16: Curso de Electronica Digital

Para convertir un número hexadecimal a binario solo basta representar de manera directa cada dígito hexadecimal en binario (ver tabla 2.3).

HEXADECIMAL A OCTAL

Para realizar la conversión hay que seguir los siguientes pasos:

1. Convertir el número hexadecimal a binario de manera directa.2. Convertir el número binario a octal.

16

Page 17: Curso de Electronica Digital

ARITMÉTICA BINARIA BÁSICAARITMÉTICA BINARIA BÁSICA

Introducción:

El sistema de procesamiento aritmético de datos más eficaz, logrado hasta ahora es el digital. A partir de las cuatro operaciones aritméticas básicas (adición, resta, multiplicación y división), realizadas con circuitos digitales, es posible efectuar todo tipo de cálculos numéricos y analíticos.

SUMA O ADICIÓN.

Para realizar la suma o adición hay que seguir las siguientes reglas:

0 + 0 = 0 y llevamos 0.0 + 1 = 1 y llevamos 0.1 + 0 = 1 y llevamos 0.1 + 1 = 0 y llevamos 1.

Resumiendo:

donde:

X y Y son los sumandos.S es la suma.C es el acarreo de la suma.

Ejemplos de suma:

SUSTRACCIÓN O RESTA.

Para realizar la sustracción se deben seguir las siguientes reglas:

0 – 0 = 0 y llevamos 0.0 – 1 = 1 y llevamos 1.1 – 0 = 1 y llevamos 0.1 – 1 = 0 y llevamos 0.

Resumiendo:

17

Page 18: Curso de Electronica Digital

donde:X es el minuendo.Y es el sustraendo.S es la diferencia.C es el acarreo de la resta.

Ejemplos de restas:

MULTIPLICACIÓN.

Para poder multiplicar dos números binarios hay que seguir las siguientes reglas:

0 x 0 = 0 cero por cero es igual a cero.0 x 1 = 0 cero por uno es igual a cero.1 x 0 = 0 uno por cero es igual a cero.1 x 1 = 1 uno por uno es igual a uno.

Resumiendo:

donde:X y Y son los factores.S es el producto.

Ejemplo de multiplicación.

o bien:

18

Page 19: Curso de Electronica Digital

DIVISIÓN.

Para realizar la división se deben seguir las siguientes reglas:x y c0 0 = 0 0 1 = 01 0 = 01 1 = 1

resumiendo:

donde:x es el dividendo.y es el divisor.c es el cociente.

Ejemplo de división.

COMPLEMENTO A UNO

Para obtener el complemento a uno de un número binario solamente hay que obtener su complemento de dicho número, o en otras palabras hay que negar el número.

Ejemplos:101011012

Su complemento a uno es: C1 = 01010010

101011112

Su complemento a uno es: C1 = 01010000

19

Page 20: Curso de Electronica Digital

COMPLEMENTO A DOS

Para obtener el complemento a dos de un número binario, solo hay que sumarle 1 al complemento a uno obtenido de dicho número.

Ejemplos:1) 100112

Primero se obtiene su complemento a uno.C1 = 01100A este número se le suma 1.01100

+ 1 01101

El complemento a dos es: C2 = 01101

2) 110012

Se obtiene su complemento a uno.C1 = 00110A este número se le suma 1.00110 + 100111El complemento a dos es: C2 = 00111

RESTA CON COMPLEMENTO A DOS

La resta binaria con complemento a dos se realiza de la siguiente manera:

1. Se obtiene el complemento a dos del sustraendo.2. El complemento a dos obtenido del sustraendo se le suma al minuendo.3. Para obtener el resultado correcto, hay que el eliminar el bit más significativo que es el

sobreflujo de la operación.4. Lo que queda es el resultado.

Ejemplo:

Realizar la siguiente resta empleando el método de complemento a dos.

Se obtiene el complemento a dos del sustraendo: C2 = 0110

20

Page 21: Curso de Electronica Digital

Ahora el complemento a dos del sustraendo se suma con el minuendo.

sobreflujo

Se elimina el bit de sobreflujo y nos da el resultado de la operación.

El resultado es 1 0 12

FAMILIAS LÓGICASFAMILIAS LÓGICAS

Introducción.

Una familia lógica es un grupo de dispositivos digitales que comparten una tecnología común de fabricación y tienen estandarizadas sus características de entrada y de salida; es decir, son compatibles entre sí.

Como consecuencia de la estandarización, la interconexión entre dispositivos lógicos de una misma familia es particularmente sencilla y directa: no requiere de etapas adicionales de acoplamiento.

Características generales de las familias lógicas.

Las características más importantes de un circuito digital son su velocidad, su consumo de potencia, su inmunidad al ruido y su confiabilidad.

La velocidad mide la rapidez de respuesta de las salidas de un circuito digital a cualquier cambio en sus entradas.

El consumo de potencia mide la cantidad de corriente o de potencia que consume un circuito digital en operación.

La inmunidad al ruido mide la sensibilidad de un circuito digital al ruido electromagnético ambiental.

La confiablidad mide el período útil de servicio de un circuito digital.

FAMILIA LÓGICA TTL

La familia lógica TTL es la más común de todas las familias lógicas.

Los circuitos integrados TTL implementan su lógica interna, exclusivamente basándose en transistores NPN y PNP, diodos y resistencias.

21

Page 22: Curso de Electronica Digital

La familia TTL está disponible en dos versiones: la serie 54 y la serie 74. La primera se destina a aplicaciones militares y la segunda a aplicaciones industriales y de propósito general.

La familia TTL o bipolar se divide en las siguientes categorías o subfamilias básicas:

TTL estándar.TTL Schottky (S).TTL de baja potencia (L).TTL Schottky de baja potencia (LS).TTL de alta velocidad (H).TTL Schottky avanzada (AS).TTL Schottky de baja potencia avanzada (ALS).

Tensión de alimentación (+ VCC).

Los circuitos TTL en general, pueden operar con tensiones entre 4.75 V. y 5.25 V. Pero el valor nominal de la tensión de trabajo es de + 5 volts.

Niveles de voltaje.

De 0 V. a 0.8 V. para el estado bajo.De 2.4 V. A 5 V. para el estado alto.

FAMILIA LÓGICA CMOS

La familia lógica CMOS, utiliza transistores MOSFET complementarios canal N y canal P como elementos básicos de conmutación.

Los circuitos integrados digitales fabricados mediante tecnología CMOS se pueden agrupar en las siguientes categorías o subfamilias básicas:

CMOS estándar.CMOS de alta velocidad (HC).CMOS compatible con TTL (HCT).CMOS equivalente a TTL (C).

Familia CMOS estándar.

La familia CMOS estándar comprende principalmente los dispositivos que se designan como 40Xx (4012, 4029, etc.) y 45XX (4528, 4553, etc.). Existen dos series generales de dispositivos CMOS designadas “A” y “B”.

Los dispositivos de la serie “A” se designan con el sufijo “A” o simplemente no lo traen impreso (4011A = 4011). Todos los dispositivos de la serie “B” llevan el sufijo B.

La principal diferencia entre los dispositivos de las series A y B esta en que los CMOS “B” contienen una circuiteria interna de protección que reduce el riesgo de daño al dispositivo por el fenómeno de descarga electrostática.

Tensión de alimentación (+ VDD).

Tienen un amplio margen de tensión comprendido entre + 3 V. y + 18 V.

22

Page 23: Curso de Electronica Digital

Niveles de voltaje

De 0 V. a 0.3 VDD para el estado bajo.De 0.7 VDD a VDD para el estado alto.

PRECAUCIONES A TOMAR EN EL MANEJO DE DISPOSITIVOS CMOS.

Todos los dispositivos CMOS son muy susceptibles al daño ocasionado por descarga electrostática entre cualquier par de pines.

La electrostática o electricidad estática consiste en la creación de altos voltajes en la superficie de un material aislante por efecto de fricción o frotamiento.

Conservar el circuito integrado en su contenedor original hasta que sea insertado en el circuito de aplicación.

Conectar todas las entradas no empleadas a un nivel estable. No dejarlas sin conectar.

Verificar la polaridad de la fuente de alimentación. El positivo debe ir al pin +V DD y el negativo o tierra al pin VSS.

INTERFACES LOGICAS

Una interfaz es la interconexión eficiente de dos dispositivos, circuitos o sistemas que no son compatibles entre sí y tienen características eléctricas diferentes.

Las interfaces lógicas o reales permiten que dispositivos de diferentes familias o subfamilias puedan comunicarse entre sí.

Interfaces entre familias lógicas

Existen situaciones donde se hace necesario interconectar dispositivos pertenecientes a diferentes familias lógicas con el fin de aprovechar las ventajas que cada tecnología ofrece. Para que esta interconexión sea eficiente, deben conocerse las características de entrada y de salida de las familias lógicas comprometidas.

Cada familia lógica interpreta de manera diferente un nivel alto o bajo de voltaje y tiene sus propios requisitos de corriente de entrada y de salida. Por esta razón, dos familias lógicas no se pueden conectar directamente: necesitan de una interfaz que las comunique y acople sus características de voltaje y corriente.

23

Page 24: Curso de Electronica Digital

COMPUERTAS LÓGICAS.

Definición.

Las compuertas digitales son los bloques básicos de cualquier circuito digital. Todos los aparatos digitales, desde el más simple dispositivo, hasta la más sofisticada computadora, están formados por compuertas conectadas en una gran variedad de configuraciones.

Una compuerta digital es un circuito electrónico con dos o más líneas de entrada y una línea de salida, que tiene la capacidad de tomar decisiones.

La decisión tomada por una compuerta consiste en situar su salida en 0 ó en 1, dependiendo del estado de sus entradas y de la función lógica para la cuál ha sido diseñada.

En electrónica digital existen ocho compuertas lógicas, designadas como AND, OR, NOT, YES, NAND, NOR, XOR y XNOR.

Fig. 5.1 Compuertas lógicas

Como describir la operación de una compuerta.

La operación de una compuerta lógica se puede expresar mediante una tabla de verdad, una ecuación lógica o un diagrama de temporización.

Una tabla de verdad representa ordenadamente todas las posibles combinaciones de estados lógicos que pueden existir en las entradas y el valor que toma la salida en cada caso.

24

Page 25: Curso de Electronica Digital

La ecuación lógica relaciona matemáticamente la salida con las entradas.

Un diagrama de temporización representa gráficamente el comportamiento de una compuerta con señales variables en el tiempo.

COMPUERTA AND.

Fig. 5.2 Compuerta AND.

COMPUERTA OR.

Fig. 5.3 Compuerta OR.

COMPUERTA NOT.

Fig. 5.4 Compuerta NOT.

25

Page 26: Curso de Electronica Digital

COMPUERTA YES.

Fig. 5.5 Compuerta YES

COMPUERTA NAND.

Fig. 5.6 Compuerta NAND

COMPUERTA NOR.

Fig. 5.7 Compuerta NOR.

COMPUERTA XOR.

26

Page 27: Curso de Electronica Digital

Fig. 5.8 Compuerta XOR

COMPUERTA XNOR.

Fig. 5.9 Compuerta XNOR

IMPLEMENTACIÓN DE FUNCIONES CON COMPUERTAS BASICAS

Un diagrama lógico o logigrama se obtiene a partir de una función o expresión lógica.

Un diagrama lógico es la representación en forma de símbolos de las funciones lógicas.

La implementación de funciones consiste en desarrollar el diagrama lógico de una función o expresión lógica dada con compuertas lógicas básicas o con lógica NAND o lógica NOR.

La tabla de verdad nos representa el comportamiento del circuito para cada una de sus posibles combinaciones de entrada.

Para determinar el número de combinaciones se aplica la formula 2n, donde “n” es el número de entradas.

1.- Diagrama lógico de la función F1 y su tabla de verdad:

F1 = A B´C + A´B C´+ B´C´

27

Page 28: Curso de Electronica Digital

Fig. 5.16 Logigrama de la función F1

La función lógica requiere para su implementación de tres inversores, tres compuertas AND y dos compuerta OR.

Tabla 5.1 Tabla de verdad de la función F1

Para obtener la tabla de verdad de una función o diagrama lógico:

1) Determinar el número de entradas para poder obtener el número de posibles combinaciones con la formula 2n, donde “n” es el número de entradas (en este caso n=3, por lo tanto hay 8 posibles combinaciones de entrada).

2) En la segunda columna se escriben cada una de las posibles combinaciones de entrada con su valor complementado o negado.

3) En las siguientes columnas (AB’C’, A’BC’, B’C’) se va colocando el resultado de cada uno de los términos de la expresión lógica de acuerdo a la combinación de entrada.

4) En la última columna (F1) se obtiene el estado de la salida de la función que corresponde a cada combinación de entrada.

IMPLEMENTACIÓN DE FUNCIONES CON LÓGICA NAND Y NOR

En la práctica, una unidad lógica tal como una compuerta NAND o NOR pueden emplearse como únicos elementos lógicos para implementar el diagrama lógico de una función lógica.

Obtención de las funciones NOT, AND, OR y NOR con lógica NAND

28

ABC A'B'C' AB'C A'BC' B'C' F1000 111 0 0 1 1001 110 0 0 0 0010 101 0 1 0 1011 100 0 0 0 0100 011 0 0 1 1101 010 1 0 0 1110 001 0 0 0 0111 000 0 0 0 0

Page 29: Curso de Electronica Digital

Tabla 5.3 Equivalencia de la lógica NAND.

Obtención de las funciones NOT, OR, AND y NAND con lógica NOR.

Tabla 5.4 Equivalencia de la lógica NOR.

En la implementación de funciones con compuertas lógicas NAND o NOR, estas pueden simplificarse cuando quedan dos compuertas conectadas en serie, ya que una doble negación es igual a una afirmación.

Fig. 5.18 Una doble negación es igual a una afirmación.

SIMPLIFICACIÓN DE FUNCIONES

Álgebra de Boole

El álgebra de Boole es un método muy sencillo para expresar, en forma de lenguaje matemático, la lógica digital.

El método booleano permite representar, analizar y diseñar circuitos digitales. Sus principios teóricos fueron desarrollados por el matemático ingles George Boole en su obra “Análisis matemático de la lógica” publicada en 1847. Sin embargo, sólo hasta 1938 se descubrió su real utilidad.

El álgebra booleana proporciona el método más compacto y conveniente de representar, analizar y diseñar circuitos lógicos. La operación completa de un circuito digital se puede describir mejor por álgebra booleana que utilizando complicados diagramas lógicos y extensas tablas de verdad.

29

Page 30: Curso de Electronica Digital

Cuando se diseña un circuito por métodos booleanos, el primer paso consiste generalmente en obtener su tabla de verdad de acuerdo con las condiciones de entrada y de salida. A partir de esta tabla se deriva entonces una ecuación booleana que se simplifica y conduce al circuito lógico deseado.

El circuito obtenido por este método es el óptimo porque requiere de un número mínimo de compuertas para su realización. Esto reduce el costo, el tamaño físico y el consumo de potencia del mismo y mejora su confiabilidad y velocidad. Todas estas condiciones son importantes cuando se diseñan circuitos digitales.

Conceptos básicos

En álgebra booleana, las entradas y salidas de un circuito digital se representan mediante caracteres alfabéticos llamados variables booleanas o lógicas. Generalmente, aunque no es una regla inflexible, las entradas se designan por las primeras letras del alfabeto y las salidas por las últimas.

Las variables booleanas se caracterizan por ser binarias, es decir, sólo pueden adoptar uno de dos valores o estados posibles: 0 ó 1. En electrónica digital, una variable booleana representa el nivel de voltaje presente en un punto de un circuito. El 0 designa el nivel bajo y el 1 el nivel alto.

Las variables booleanas se combinan para formar ecuaciones booleanas o lógicas. Una ecuación boolena es una expresión matemática que sintetiza la función de un circuito digital.

Una ecuación booleana consta de tres elementos: variables de entrada, variables de salida y operadores lógicos. Los operadores lógicos (“·”, “+” y “-“) son signos que relacionan entre sí las variables de entrada y establecen su relación con la(s) variable(s) de salida.

Operaciones básicas y derivadas

El álgebra booleana maneja tres operaciones básicas llamadas AND o producto lógico, OR o suma lógica y NOT o complemento lógico. Estas operaciones son realizadas en la práctica por las compuertas AND, OR y NOT, respectivamente.

A partir de las tres operaciones básicas descritas anteriormente se derivan las operaciones NAND, NOR, XOR y XNOR, realizadas por las compuertas del mismo nombre.

Los postulados del álgebra de Boole son:

Los postulados son suposiciones fundamentales que también se denominan axiomas.

1 .- a) 0 · 0 = 0 b) 1 + 1 = 12.- a) 0 · 1 = 0 b) 1 + 0 = 13.- a) 1 · 0 = 0 b) 0 + 1 = 14.- a) 1 · 1 = 1 b) 0 + 0 = 05.- a) 0´ = 1 b) 1´ = 0

Los teoremas del álgebra de Boole son:

1) Ley conmutativa.a) A + B = B + A b) A·B = B·A

2) Ley asociativa.a) A + (B + C) = (A + B) + C b) A (BC) = (AB)C

30

Page 31: Curso de Electronica Digital

3) Ley distributiva.a) A (B + C) = AB + AC b) A + (BC) = (A + B)(A + C)

4) Ley de los idempotentes.a) A + A = A b) A · A = A

5) Ley de absorción.a) A + AB = A b) A (A + B) = A

6) Ley complementaria.a) A´+ A = 1 b) A´· A = 0

7) Ley de identidad.a) 0 + A = A b) 1 · A = A

8) Ley de los elementos nulos.a) 1 + A = 1 b) A · 0 = 0

9) Teoremas de DeMorgan.a) (A + B)´= A´B´ b) (A B)´= A´+ B´

10) Ley de doble negación.( x ´)´ = x

Ejemplos:

Simplificar las siguientes funciones por álgebra de Boole y obtener su tabla de verdad.

1. S = A’BC + AB’C’ + AB’C + ABCS = A’BC + ABC + AB’C’ + AB’CS = BC(A+A’) + AB’(C’+C) A+A’ = C’+C = 1S = BC(1) + AB’(1) BC(1) = 1, AB’(1) = 1S = BC + AB’

Tabla 5.5 Tabla de verdad de la función S sin simplificar.

Tabla 5.6 Tabla de verdad de la función S simplificada.

31

ABC A'B'C' A'BC AB'C' AB'C ABC S000 111 0 0 0 0 0001 110 0 0 0 0 0010 101 0 0 0 0 0011 100 1 0 0 0 1100 011 0 1 0 0 1101 010 0 0 1 0 1110 001 0 0 0 0 0111 000 0 0 0 1 1

ABC A'B'C' BC AB' S000 111 0 0 0001 110 0 0 0010 101 0 0 0011 100 1 0 1100 011 0 1 1101 010 0 1 1110 001 0 0 0111 000 1 0 1

Page 32: Curso de Electronica Digital

Se observa en la tabla de verdad que las salida para cada combinación de entrada es la misma para la función sin simplificar y la función simplificada.

Mapas de Karnaugh

Los mapas de Karnaugh proporcionan un método sistemático para simplificar y manipular expresiones booleanas. También proporcionan un grupo de localidades o áreas etiquetadas de una forma especial, donde cada una representa una combinación única de variables.

Localidades en los mapas de Karnaugh.

a) para expresiones de dos variables.

Mapa de Karnaugh para dos variables.

b) para expresiones de tres variables.

Mapa de Karnaugh para tres variables.

c) para expresiones de cuatro variables.

Mapa de Karnaugh para cuatro variables.

Ejemplo:

Simplificar las siguientes funciones mediante mapas de Karnaugh.

1.- F =xy’z’ + x’y’z + x’y’z’ + x’yz

Vaciando la función en el mapa.

32

Page 33: Curso de Electronica Digital

Agrupando celdas adyacentes.

Al agrupar las celdas adyacentes se observa que no se agrupo la localidad 000 y 001, por que ya están previamente agrupadas. Volver a agruparlas seria hacer más grande la función y el término obtenido estaría de más, ya que no afecta la salida de la función.

Se obtiene la función simplificada del mapa.

F = y’z’ + x’z

Método tabular

El método de mapas de Karnaugh es conveniente en tanto que el número de variables no exceda cinco o seis. Conforme aumenta el número de variables, el número excesivo de cuadros evita una selección razonable de cuadros adyacentes. La desventaja obvia del mapa es que en esencia es un procedimiento de ensayo y error, que depende de la habilidad del usuario para reconocer ciertos patrones. Para funciones de seis o más variables, es difícil tener la seguridad de que se ha hecho la mejor selección.

El método tabular supera esta dificultad. Es un procedimiento específico de paso a paso que esta garantizado para producir una expresión simplificada en forma estándar para una función. Puede aplicarse a problemas con muchas variables y tiene un potencial para utilizar el procedimiento en computadora. Sin embargo, es bastante tedioso para el uso humano y propenso a errores debido a su proceso rutinario y monótono. El método de tabulación lo formulo por vez primera Quine y los mejoro posteriormente McCluskey. También se le conoce como método de Quine-McCluskey.

A continuación se da un ejemplo de simplificación de una función empleando el método tabular.

El siguiente ejemplo es meramente ilustrativo, ya que como se menciono anteriormente el verdadero potencial de este método es para seis o más variables.

Simplificar la siguiente función por el método tabular:

F = A’B’C’D’ + A’B’C’D + A’B’CD’ + AB’C’D’ + AB’CD’ + AB’CD + ABCD’ + ABCD

Se representan los términos de la función en valores de unos (1’s) y ceros (0’s).

0000 + 0001 + 0010 + 1000 + 1010 + 1011 + 1110 + 1111

1.- Se ordenan los términos binarios, colocando primero los términos que no contengan unos, luego los que tengan un uno, luego los que tengan dos unos, y así sucesivamente.

33

Page 34: Curso de Electronica Digital

A B C D1 0 0 0 0 2 0 0 0 1 3 0 0 1 0 4 1 0 0 0 5 1 0 1 0 6 1 0 1 1 7 1 1 1 0 8 1 1 1 1

2.- Se encuentran los términos que difieren solo en una variable, la cual se elimina y se tiene un término con una literal menos.

A B C D1, 2 0 0 0 - 1, 3 0 0 - 0 1, 4 - 0 0 0 3, 5 - 0 1 0 4, 5 1 0 - 0 5, 6 1 0 1 - 5, 7 1 - 1 0 6, 8 1 - 1 1 7, 8 1 1 1 -

3.- Se repite el paso 2, se encuentran los términos que difieren solo en una variable, la cual se elimina y se tiene un término con una literal menos.

A B C D1, 3, 4, 5 - 0 - 01, 4, 3, 5 - 0 - 05, 6, 7, 8 1 - 1 -5, 7, 6, 8 1 - 1 -

La función simplificada es:

F = A’B’C’ + B’D’ + AC

34

Page 35: Curso de Electronica Digital

CIRCUITOS LOGICOS COMBINACIONALESCIRCUITOS LOGICOS COMBINACIONALES

INTRODUCCIÓN

Un circuito combinacional consiste en compuertas lógicas cuyas salidas se determinan directamente en cualquier momento de la combinación presente de entradas sin tener en cuenta las entradas anteriores. Un circuito combinacional realiza una operación de procesamiento de información especifica completamente lógica por medio un conjunto de funciones de Boole.

En un circuito combinacional, para “n” variables de entrada, hay 2 n combinaciones posibles de valores de entrada binaria, para cada combinación de entrada posible hay una y solo una combinación de salida posible. Un circuito combinacional puede describirse por “m” funciones de Boole, una para cada variable de salida, cada función de salida se expresa en términos de n variables de entrada.

Fig. 6.1 Diagrama a bloques de un circuito combinacional.

CODIFICADORES

Un codificador es una función digital que produce una operación inversa a la del decodificador. Un codificador digital que tiene 2n (o menos) líneas de entrada y “n” líneas de salida, las líneas de salida generan el código binario para las 2n variables de entrada.

Se puede decir también que un codificador es un circuito que nos convierte información no binaria (números, letras, caracteres, etc.) a un código binario.

35

Page 36: Curso de Electronica Digital

Fig. 6.2 Diagrama a bloques de un codificador

DECODIFICADORES

El decodificador es un circuito combinacional que convierte la información binaria de “n” línea de entrada a un máximo de 2n líneas de salida. Si la información decodificada de “n” bits tiene combinaciones no usadas o de no importa, la salida del decodificador tendrá menos de 2n salidas.

En otras palabras un decodificador nos convierte información binaria a información no binaria (letras, símbolos, caracteres, etc).

Fig. 6.3 Diagrama a bloques de un decodificador 3 x 8.

Decodificador BCD a 7 segmentos.

Este circuito combinacional nos convierte el código BCD a salida siete segmentos, que es la que se emplea en los displays.

Fig. 6.4 Decodificador BCD a 7 segmentos.

Un decodificador BCD a 7 segmentos puede ser el C.I. 74LS47 (para display de ánodo común) o el C.I. 74LS48 (para display de cátodo común).

Decodificador BCD a decimal.

36

Page 37: Curso de Electronica Digital

Este decodificador nos convierte una entrada BCD a un valor decimal posicional.

Fig. 6.5 decodificador BCD a decimal.

Observar que este decodificador tiene sus salidas activas bajos, por esta razón los diodos emisores de luz (LED) se encuentran conectados por sus ánodos a + Vcc.

MULTIPLEXORES

Un multiplexor (MUX) digital es un circuito combinacional que selecciona información binaria de una de muchas líneas de entrada para dirigirla a una sola línea de salida. La selección de una línea de entrada en particular es controlada por un conjunto de líneas de selección. Normalmente hay 2n líneas de entrada y “n” líneas de selección cuyas combinaciones de bits determinan cual entrada se selecciona.

Fig. 6.6 Diagrama a bloques de un multiplexor 4 x 1.

DEMULTIPLEXORES

Un demultiplexor (DEMUX) es un circuito que recibe información por una sola línea y transmite esta información en una de las 2n líneas posibles de salida. La selección de una línea de salida especifica se controla por los valores de los bits de “n” líneas de selección.

37

Page 38: Curso de Electronica Digital

Fig. 6.7 Diagrama a bloques de un demultiplexor.

CIRCUITO COMPARADOR

Un circuito comparador es aquel que compara la magnitud de dos valores binarios, indicándonos mediante una de sus salidas si ambos valores son iguales o uno es mayor con respecto al otro.

Fig. 6.8 Diagrama del comparador de magnitud de 4 bits (74LS85).

SUMADORES BINARIOS

Medio sumador.

Un medio sumador es un circuito combinacional que consta de dos entradas y dos salidas.

Fig. 6.9 Diagrama a bloques de un medio sumador.

Un medio sumador nos realiza la suma de dos bits (A, B), nos entrega el resultado de la suma (S) y nos da el acarreo de la suma (C).

Fig. 6.10 Diagrama lógico del medio sumador.

38

Page 39: Curso de Electronica Digital

Tabla 6.1 Tabla de verdad del medio sumador.

Sumador completo.

Un sumador completo tiene tres entradas y dos salidas.

Fig. 6.11 Diagrama a bloques de un sumador completo.

Un sumador completo nos realiza la suma de dos números binarios (A, B) y el acarreo de entrada (CIN), nos entrega el resultado de la suma (S) y nos da el acarreo de la suma (COUT).

Fig. 6.12 Diagrama lógico de un sumador completo.

Tabla 6.2 Tabla de verdad del sumador completo.

39

Page 40: Curso de Electronica Digital

Sumador completo de 4 bits.

Se puede implementar un sumador completo de 4 bits empleando un medio sumador y tres sumadores completos.

Fig. 6.13 Diagrama a bloques de un sumador de 4 bits.

donde:(A4 A3 A2 A1) y (B4 B3 B2 B1) son los números binarios de 4 bits a sumar.C4 es el acarreo de salida de la suma.4 3 2 1 son el resultado de la suma.

RESTADORES BINARIOS

Medio restador.

Un medio restador es un circuito combinacional que tiene solamente dos entradas y dos salidas.

Fig. 6.14 Diagrama a bloques de un medio restador.

40

Page 41: Curso de Electronica Digital

Fig. 6.15 Diagrama lógico de un medio restador.

Tabla 6.3 Tabla de verdad del medio restador.

Restador completo.

Un restador completo es un circuito combinacional que tiene tres entradas y dos salidas.

Fig. 6.16 Diagrama a bloques de un restador completo.

Fig. 6.17 Diagrama lógico del restador completo.

41

Page 42: Curso de Electronica Digital

Tabla 6.4 Tabla de verdad del restador completo.

SUMADOR/RESTADOR DE 4 BITS.

El circuito sumador/restador de 4 bits esta constituido de un sumador completo de 4 bits (74LS83A) y 5 compuertas XOR.

La selección de función del circuito (suma o resta), se realiza con el interruptor etiquetado con el nombre de selector de suma o resta (0 = suma, 1 = resta)

Fig. 6.18 Diagrama del sumador/restador de 4 bits.

42

Page 43: Curso de Electronica Digital

DISPLAY

INTRODUCCION

Los display en electrónica digital son de mucha utilidad, ya que nos muestran en forma visual y en sistema decimal o en caracteres información que los equipos electrónicos digitales procesan en sistema binario.

Los displays los hay de siete segmentos y los displays de cristal líquido (LCD).

Displays de siete segmentos LED.

El display de siete segmentos es uno de los dispositivos más empleados en los circuitos digitales para visualizar números y otros caracteres. Cada segmento está hecho de un material que emite luz cuando circula a través de el una corriente de pequeña intensidad.

Estos displays los hay de ánodo y cátodo común.

Fig. 7.1 Display de siete segmentos.

Para diferenciar a cada segmento, se le asigna una letra tal como se muestra en la figura anterior (a, b, c, d, e, f, g).

Para que el display pueda proporcionarnos la información correcta es necesario conectar sus entradas al decodificador BCD a 7 segmentos, el cual nos convierte la información BCD o binaria a decimal a 7 segmentos.

Este tipo de display nunca hay que conectarlos directamente a +Vcc, por que se puede fundir algún segmento o quemar todo el display, siempre hay que conectarlo a +Vcc a través de una resistencia de por lo menos 220.

Displays de cristal líquido (LCD).

43

Page 44: Curso de Electronica Digital

Los displays de cristal líquido (LCD) de siete segmentos operan bajo un principio diferente al de los displays LED. Cada segmento esta constituido de un fluido viscoso que normalmente es transparente, pero se opaca cuando se energiza mediante un pequeño voltaje de corriente alterna de baja frecuencia.

El voltaje alterno de excitación es generalmente una onda cuadrada de 3V a 15V de amplitud y de 25Hz a 60Hz de frecuencia. Se aplica entre el pin de acceso al segmento (a, b, c...) y un pin especial llamado backplane, que sustituye a el terminal común de los displays LED convencionales.

Los LCD se emplean extensamente en relojes, calculadores, termómetros, instrumentos y otras aplicaciones digitales. Su mayor ventaja es el bajo consumo de corriente. Además, son más económicos y flexibles que los de tipo LED y pueden ser leídos en presencia de luz brillante. Sin embargo, presentan algunos inconvenientes.

En primer lugar, un LCD no puede ser leído en la oscuridad. Por esta razón, algunos displays de este tipo incluyen una lámpara incandescente miniatura. En segundo lugar, necesitan de una fuente externa de pulsos para operar. En tercer lugar, son muy sensibles a las bajas temperaturas y por último son muy delicados y tienden a ser lentos.

Clasificación de los displays por el número de dígitos.

De acuerdo al número de dígitos que nos pueden mostrar los displays se dice que son de tres dígitos y medio, cuatro dígitos y medio, etc.

Fig. 7.2 Display de tres dígitos y medio.

44

Page 45: Curso de Electronica Digital

CONTADORES

CONTADOR:

Un contador es un dispositivo digital que emplea flip-flops conectados en cascada para dividir la frecuencia de una señal de entrada, así como para contar o totalizar el número de pulsos de entrada.

Algunos de los aparatos digitales que emplean contadores son: computadoras, equipos automáticos de control, relojes, multímetros, frecuencímetros, sintetizadores, generadores de barras, etc.

Los contadores digitales se pueden clasificar de acuerdo a una gran variedad de criterios, por ejemplo, el código que emplean para representar la cuenta de los pulsos. A este respecto, los dos grupos más importantes de contadores son los binarios y los BCD. Los primeros cuentan en código binario y los segundos en código BCD.

Existen también contadores que cuentan en otros códigos, por ejemplo, los llamados contadores de anillo o Johnson, que son básicamente registros de desplazamiento recirculantes.

En general, los contadores digitales se clasifican en dos grandes grupos: asíncronos y sincronos. Los contadores binarios y BCD entran en ambas categorías.

Contadores asincronos o de rizado.

Son en los que la información se propaga secuencialmente de la entrada hacía la salida a través de todos los biestables que lo componen.

Fig. 8.1 Contadores asíncronos o de rizado.

Contadores sincronos.

Son aquellos en que todos los biestables que lo constituyen cambian de estado simultáneamente en función de la información de entrada, al ritmo de una señal de reloj.

45

Page 46: Curso de Electronica Digital

Fig. 8.2 Contadores síncronos.

CONTADORES DÉCADA.

Un contador década lleva una secuencia repetitiva de conteo del cero al nueve (0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 0,...) o bien en forma regresiva (9, 8, 7, 6, 5, 4, 3, 2, 1, 0, 9,...).

Un contador década de conteo ascendente es el 7490, el cuál nos entrega su salida en código BCD.

Fig. 8.3 Diagrama a bloques del contador década con el 7490.

46

Page 47: Curso de Electronica Digital

Fig. 8.4 Contadores década conectados en cascada.

Otro contador que viene encapsulado en un CI es el 74190, el cuál también es un contador década pero con la opción de realizar el conteo en forma descendente, esto se logra mediante el pin etiquetado con UP/DOWN el cual selecciona el modo del conteo. Nos entrega su salida en BCD.

Fig. 8.5 Diagrama a bloques del contador ascendente/descendente con el CI 74190.

Este contador también se puede conectar en cascada para que incremente su rango de conteo.

Contadores binario.

Estos tipos de contadores nos dan sus salidas en sistema binario. Un contador binario de 4 etapas es el C.I. 74LS93. Este contador no realiza un conteo de 0000 hasta 1111.

QA QB QC QD

0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 0

47

Page 48: Curso de Electronica Digital

1 1 1 1

Tabla 8.1 Estados del contador binario de 4 bits.

EQUIPO DE MEDICIÓN Y PRUEBADE SEÑALES DIGITALES

MULTIMETRO DIGITALMULTIMETRO DIGITAL

El multímetro digital en electrónica digital nos sirve para realizar medidas de voltaje de CD, corriente directa, y continuidad al estar probando algunas tarjetas o equipos digitales.

En la actualidad los multímetros traen todavía más facilidades en las que se pueden encontrar: retención de lectura, función de autorango, iluminación de display entre otras.

Fig. 9.1 Multímetro digital.

OSCILOSCOPIO

El osciloscopio digital es un equipo que aparte de darnos la lectura del voltaje medido, nos muestra la forma de onda de esta, con el podemos medir directamente el voltaje pico, voltaje pico a pico, periodo de la señal, frecuencia, etc.

En electrónica digital es muy importante no solo medir el voltaje de alimentación a un circuito, sino también es importante verificar la forma de onda de la señal medida y esto se logra con el osciloscopio.

48

Page 49: Curso de Electronica Digital

Fig. 9.2 Osciloscopio digital

GENERADOR DE FUNCIONES

En electrónica a veces es necesario inyectar ciertas señales a los circuitos electrónicos para realizar algunas pruebas, y es aquí donde se emplea el generador de funciones que nos entrega una señal cuadrada, diente de sierra o seno de acuerdo a lo que se requiera, donde además podemos seleccionar la amplitud, frecuencia, y ciclo de trabajo.

Fig. 9.3 Generador de funciones

ANALIZADOR LÓGICO

El analizador lógico nos permite visualizar al mismo tiempo los diferentes patrones de señales que se están procesando en un sistema digital.

Fig. 9.4 Analizador lógico

PUNTA LOGICA.

49

Page 50: Curso de Electronica Digital

Con la punta lógica es posible realizar un muestreo de las señales digitales. Esta se emplea normalmente cuando se realiza un análisis o reparación de un circuito digital.

CIRCUITOS LÓGICOS SECUENCIALES

INTRODUCCIÓN

Los circuitos secuenciales usan elementos de memoria (celdas binarias), además de compuertas lógicas. Sus salidas son una función de las entradas y del estado de los elementos de la memoria, a su vez es una función de las entradas previas. Como consecuencia, las salidas de un circuito secuencial dependen no solamente de las entradas presentes, sino también de las entradas pasadas, y el comportamiento del circuito debe especificarse por una secuencia de tiempos de las entradas y estados internos.

Fig. 10.1 Diagrama a bloques de un circuito secuencial.

BIESTABLES

Un biestable es un dispositivo que tiene dos estados estables (alto y bajo) y permanece indefinidamente en cualquiera de ellos, hasta que recibe una señal externa de disparo adecuada.

Los dos circuitos biestables básicos son el cerrojo o latch y el flip-flop. Los latches se denominan, también flip-flops asincronos.

LATCHES

Un latch es un circuito que puede almacenar un bit de información, es decir un 0 ó un 1.

Los latch’s son asincronos en el sentido de que no necesitan de una señal externa de reloj para operar.

Un latch esta en estado SET cuando la salida Q esta en nivel alto ( 1 ), y en estado RESET cuando Q esta en nivel bajo ( 0 ).

50

Page 51: Curso de Electronica Digital

Para almacenar un 1 lógico, se debe aplicar un pulso de disparo a la entrada SET. Para almacenar un 0 lógico, se debe de aplicar un pulso de disparo a la entrada RESET. El pulso de disparo puede ser positivo o negativo.

Una vez que el latch ha sido programado en estado SET, permanecerá su salida Q en estado alto aunque nuevamente se le aplique otro pulso de disparo a la entrada SET, la única forma de cambiar el estado de la salida Q es aplicando un pulso de disparo a la entrada RESET o bien dejando sin alimentación al circuito. Lo mismo ocurre cuando la salida Q es puesta a cero aplicando un pulso a la entrada RESET, aunque se le aplique nuevamente otro pulso a esta misma entrada, la salida Q permanecerá en nivel bajo.

Fig. 10.2 Latch con compuertas básicas.

Fig. 10.3 Latch con compuertas NAND.

Fig. 10.4 Latch con compuertas NOR.

FLIP-FLOPS

Un circuito flip-flop puede mantener un estado binario indefinidamente (siempre y cuando este alimentado el circuito) hasta que se cambie por una señal de entrada para cambiar estados. La principal diferencia entre varios tipos de flip-flops es el número de entradas que poseen y la manera en la cual las entradas afectan el estado binario.

Los flip-flops son dispositivos biestables sincronos, es decir, las salidas no cambian inmediatamente cuando se registra un cambio en sus entradas, sino un tiempo después, fijado por una señal de reloj.

La lógica sincrona de los flip-flops se emplea en todos los sistemas digitales avanzados (registros, contadores, memorias, etc.) y presenta varias ventajas notables. La primera es que da un orden al proceso, puesto que toda transferencia de información se realiza bajo el control de una señal de reloj.

51

Page 52: Curso de Electronica Digital

De esta manera se evitan una serie de problemas tales como oscilaciones parásitas, condiciones de carrera, sensibilidad al ruido, estados ambiguos e indeseables, etc.

Los diferentes tipos de flip-flops son:

Flip- flop RS. Flip-flop M-S Flip-flop D. Flip-flop T. Flip-flop JK.

FLIP – FLOP RS (Set – Reset)

El flip-flop se obtiene a partir de un latch biestable controlando cada entrada a través de una compuerta y disparando el sistema así formado mediante una señal de reloj.

Fig. 10.5 Símbolo lógico del flip-flop RS.

Tabla 10.1 Tabla lógica del flip-flop RS.donde:

X = condición de no importa.Q(t+1) = estado siguiente.Q(t) = estado presente. = estado indefinido.

FLIP-FLOP M-S (Maestro/esclavo)

El flip-flop maestro/esclavo o M/S (master/slave) es una versión mejorada del flip-flop RS sincrono. Este tipo de flip-flop almacena la información durante los periodos de transición (flancos) de la señal de reloj y lo preservan durante los períodos estables.

Por tanto, los flip-flops maestro esclavo no son transparentes, ya que no operan con el nivel de la señal de reloj, sino con uno de sus flancos. La información lograda en una de las transiciones de la señal de reloj se mantiene hasta que ocurra, nuevamente, otra transición similar.

52

Page 53: Curso de Electronica Digital

Un flip-flop maestro/esclavo se obtiene conectando dos flip-flops RS en cascada.

Fig. 10.6 Flip-flop M/S.

Fig. 10.7 Diagrama lógico del flip-flop M/S.

Tabla 10.2 Tabla lógica del flip-flop M/S.donde:

X = condición de no importa.Q(t+1) = estado siguiente.Q(t) = estado presente. = estado indefinido.

FLIP-FLOP D (Data)

El flip-flop D se obtiene a partir de un flip-flop maestro/esclavo conectando un inversor entre las entradas S y R. El dato presente en la entrada D se transfiere a la salida Q cuando se activa la señal de reloj. Esta característica lo hace muy útil en memorias y registros de datos y de desplazamiento. En el flip-flop D no se presentan estados prohibidos.

Fig. 10.8 Diagrama lógico del flip-flop D.

Tabla 10.3 Tabla lógica del flip-flop D.

53

Page 54: Curso de Electronica Digital

FLIP-FLOP T (Toggle)

El flip-flop T es un dispositivo biestable que permuta el estado de sus salidas cada vez que recibe un pulso de reloj. Se obtiene a partir de un flip-flop M/S básico conectando la entrada S a la salida Q’ y la entrada R a la salida Q. Este circuito, en particular, responde a los flancos de bajada de la señal de reloj.

La única entrada del circuito es la señal de reloj. La frecuencia de la señal de un flip-flop T es la mitad de la frecuencia de la señal de reloj. Esta característica lo hacen útil para implementar contadores y otros circuitos digitales donde se requiere la función de división de frecuencia.

Fig. 10.9 Diagrama lógico del flip-flop T

Tabla 10.4 Tabla lógica del flip-flop T.

FLIP-FLOP JK

El J-K es un flip-flop sincrono con dos líneas de entrada de datos (J y K), una entrada de reloj, dos entradas asincronas (PRESET y CLEAR) y dos salidas complementarias (Q y Q’). Las entradas J y K se pueden manipular para producir cualquier condición de salida predecible. El J-K puede también operar como T o D y es el más popular de todos los dispositivos biestables.

El flip-flop puede operar de dos modos: sincrono y asincrono. En el primer caso el estado de las salidas Q y Q’ depende de las entradas J y K y esta sincronizado con la señal aplicada a la entrada del reloj. En el segundo, el estado de las salidas Q y Q’ lo establecen las entradas PRESET y CLEAR.

Fig. 10.10 Diagrama lógico del flip-flop JK.

54

Page 55: Curso de Electronica Digital

REGISTROS

Concepto:

Un registro es un grupo de flip-flops que se utiliza para memorizar datos temporalmente. En electrónica digital existen básicamente dos tipos de registros: los registros de almacenamiento (storage registers) y los registros de desplazamiento (shift registers).

Registro de almacenamiento.

Es un registro formado por un grupo de flip-flops capaz de almacenar un código o palabra binaria de determinada longitud. Los registros de almacenamiento se realizan en la práctica utilizando flip-flops tipo “D”. Se requiere un flip-flop por cada bit en la palabra.

Registro de desplazamiento.

Estos dispositivos constan de un grupo de flip-flops conectados en cascada que almacenan información binaria desplazando cada bit de una etapa a la siguiente en cada pulso de reloj.

En los sistemas digitales, los registros de desplazamiento se utilizan para almacenar datos en serie, retardar información, convertir datos en formato serie a formato paralelo o viceversa, generar secuencias de códigos binarios, producir efectos sonoros, realizar operaciones aritméticas, etc.

Los registros de desplazamiento pueden ser estáticos o dinámicos.

Los registros estáticos utilizan flip-flops como celdas básicas de memoria y retienen información mientras están alimentados por una fuente de poder.

Los registros dinámicos utilizan transistores MOSFET y otros dispositivos para almacenar datos. Se caracterizan por su bajo consumo de potencia, pero pierden la información almacenada cuando la frecuencia de reloj es inferior a un cierto valor mínimo (1 KHz).

Tipos de registros de desplazamiento.

Los registros de desplazamiento se clasifican generalmente de acuerdo a la forma como entra y sale información hacia o desde el mismo.

55

Page 56: Curso de Electronica Digital

Registro SISO.

Registro SIPO.

Registro PISO.

Registro PIPO.

REGISTRO SISO.

En un registro SISO (Serial In – Serial Out), la información entra en serie y sale en serie. No se tiene acceso a las salidas individuales de cada etapa.

El SISO es el registro de desplazamiento más elemental y uno de los más utilizados. Puede emplearse como memoria secuencial o como línea de retardo. Un registro SISO de 16 etapas, por ejemplo, puede almacenar hasta 16 bits de datos o retardar una información durante 16 pulsos de reloj. Puesto que los bits ingresan y se desplazan en orden, el primer bit en entrar es el primero en salir.

Fig. 11.1 Registro SISO.

REGISTRO SIPO.

Un registro SIPO (Serial In – Parallel Out) tiene la misma estructura de un registro SISO pero las salidas de cada etapa son accesibles externamente.

Una aplicación importante del registro SIPO es convertir información serie a paralelo. Por ejemplo, si se introduce, bit por bit, una palabra de 8 bits en un registro SIPO, al cabo de 8 pulsos de reloj esta palabra estará disponible en las líneas de salida. Esta opción reduce el número de alambres de interconexión necesarios para transferir una información de un sistema a otro.

56

Page 57: Curso de Electronica Digital

Fig. 11.2 Registro SIPO

REGISTRO PISO.

Un registro PISO (Parallel In – Serial Out), como su nombre lo indica, se carga en paralelo con una información y la desplaza en serie con cada pulso de reloj.

Una aplicación obvia del registro PISO es convertir información paralelo a serie. Por ejemplo, si cargamos en paralelo una palabra de 8 bits en un registro PISO, en cada pulso de reloj expulsará un bit por la línea de salida. Al cabo de 8 pulsos, la información original habrá abandonado el registro.

Fig. 11.3 Registro PISO.

REGISTRO PIPO.

Un registro PIPO (Parallel In – Parallel Out) es una especie de registro universal que puede operar como un registro de almacenamiento convencional o como un registro de desplazamiento SISO, SIPO o PISO. Observar que la estructura es similar a la de un registro PISO pero las salidas de cada etapa son accesibles.

57

Page 58: Curso de Electronica Digital

Fig. 11.4 Registro PIPO.

Los registros PIPO se usan comúnmente para realizar operaciones matemáticas con números binarios. Por ejemplo, la multiplicación de dos números binarios implica la realización de una serie de sumas y desplazamientos hacia la derecha mientras que la división de los mismos implica una serie de restas y desplazamientos hacia la izquierda.

Registro de desplazamiento universal.

Uno de los muchos registros que existen, es el TTL 74LS195 que es un registro de desplazamiento universal de 4 bits, que consta de 10 entradas y 4 salidas conectadas a las salidas normales (Q) de cada flip-flop en el C.I.

Este registro es muy fácil de manejar y además por que efectúa los desplazamientos de datos a la izquierda o a la derecha, convierte los datos serie a paralelo y viceversa, y permite la entrada y salida de datos en paralelo.

Los cuatro modos de operación son los siguientes:

Tabla 11.1 Modos de operación del 74195

58

Page 59: Curso de Electronica Digital

Fig. 11.5 Diagrama de conexión.

MEMORIAS SEMICONDUCTORAS

Definición:

Las memorias semiconductoras son dispositivos que permiten almacenar en ellas en forma temporal o permanente números binarios para ser utilizados posteriormente.

Clasificación de las memorias semiconductoras

Las memorias semiconductoras se clasifican en dos grupos o categorías que son:

Memorias de solo lectura o ROM (Read Only Memories). Memorias de acceso aleatorio o RAM (Random Access Memories).

Aunque los principios de funcionamiento de ambos tipos de memoria son similares, cada una juega un papel diferente y tiene ventajas y desventajas únicas. Las memorias ROM se denominan también memorias permanentes y las RAM memorias temporales o volátiles.

MEMORIAS ROM

Las memorias ROM son las más comúnmente utilizadas para almacenar instrucciones o constantes numéricas fijas, es decir que no cambian durante la vida de un producto. Las computadoras personales, por ejemplo, usan memorias ROM para soportar el llamado BIOS (sistema básico de entrada/salida) que es el encargado de inicializar el sistema. Hay cuatro tipos de ROM.ROM

Las memorias ROM solamente pueden ser programadas por el fabricante del chip. El usuario o comprador le especifica a este último cual es la información que debe ser programada. Una vez programada, una ROM no puede ser alterada. Una ventaja de la ROM es su robustez. Soportan el abuso eléctrico y físico sin perder su contenido.

PROM

Las memorias PROM (ROM programables) se programan, de manera definitiva por el usuario, empleando equipo especial. Pueden programarse o quemarse una sola vez. No son borrables. Las PROM son ligeramente más costosas que las ROM pero su flexibilidad justifica el costo.

EPROM

Las memorias EPROM (ROM programables y con capacidad de borrado) pueden ser programadas y borradas por el usuario cualquier número de veces. Los datos almacenados en estas memorias se borran acercando rayos ultravioletas (UV) de alta intensidad a través de una ventana transparente de cuarzo situada en la parte posterior del circuito integrado. Se programan de manera similar a las PROM.

EEPROM

59

Page 60: Curso de Electronica Digital

Las memorias EEPROM (ROM programables y con capacidad de borrado eléctrico) pueden ser programadas y borradas por el usuario dentro del circuito de utilización. Los datos almacenados en las memorias tipo EEPROM se borran usando señales eléctricas digitales. Son relativamente más lentas que los otros tipos de ROM.

MEMORIAS RAM

El contenido de las posiciones de esta clase de memoria puede ser leído y alterado en cualquier momento. La memoria RAM puede ser fácilmente programada, borrada y reprogramada por el usuario. La información almacenada en una RAM es temporal o volátil, es decir, se pierde al suprimir el suministro de energía.

El nombre de aleatoria se debe a que cualquier posición puede ser accesada tan rápidamente como cualquier otra. Hay dos tipos de memoria RAM.

SRAM

Las memorias SRAM (RAM estática) están compuestas por flip - flops. Si se almacena una información binaria en estas memorias, permanece allí a menos que se cambie por otro dato o se desconecte la energía. Las SRAM tienden a ser rápidas pero su capacidad de almacenamiento es limitada debido a que cada flip - flop ocupa un área relativamente grande.

DRAM

Las memorias DRAM (RAM dinámicas) utilizan condensadores MOS como celdas mínimas de memoria. Son más simples y baratas que las estáticas pero, dado que los condensadores tienden a perder su carga, es necesario refrescar cada una de las celdas cientos de veces por segundo. Si una celda no es refrescada a tiempo, pierde el dato que tenía almacenado.

Memoria RAM 27S02DC

La 27S02DC, aunque no tiene mucha capacidad de almacenamiento (64 bits, distribuidos en 16 palabras de 4 bits), es ideal para experimentar y comprender cómo operan.

El circuito integrado 27S02DC es una RAM estática de 64 bits, organizada como una memoria de 16 x 4, es decir, puede almacenar 16 palabras de 4 bits.

60

Page 61: Curso de Electronica Digital

Fig. 12.1 Diagrama funcional de los pines de circuito integrado 7489 ó 27S02DC.

La función de cada uno de los 16 pines es la siguiente:

Vcc (pin 16) y GND (pin 8). Terminales de alimentación. Por ser TTL, opera con una tensión de + 5 V. Aplicada entre estos pines.

A0 (pin 1), A1 (pin 15), A2 (pin14), A3 (pin 13). Líneas de direccionamiento. Permiten tener acceso a las 16 posiciones de memoria del chip.

D0 (pin 4), D1 (pin 6), D2 (pin 10), D3 (pin 12). Líneas de entrada de datos. Reciben la palabra de 4 bits que se desea almacenar en una determinada posición durante una operación de escritura.

S0´ (pin 5), S1´ (pin 7), S2´ (pin 9), y S3´ (pin 11). Líneas de salida de datos, activas en bajo. Suministran, complementado, el dato almacenado en una determinada posición de memoria.

CS´ (chip select, pin 2). Línea de habilitación del chip, activa baja. Cuando esta línea se hace alta, las salidas se inhiben y adoptan el estado de alta impedancia.

WE´ (write enable, pin 3). Línea de lectura/escritura, activa en bajo. Cuando WE´=0, la información en las entradas de datos se transfiere a la localización de memoria definida por las líneas de dirección (operación de escritura). Cuando WE´=1, el dato en la posición de memoria definida por las líneas de dirección se transfiere a las salidas de datos (operación de lectura).

Naturalmente, durante una operación de lectura, el dato no se destruye, aunque se transfiere a las salidas, permanece almacenado en la posición de memoria correspondiente.

61

Page 62: Curso de Electronica Digital

DISPOSITIVOS DE LÓGICA PROGRAMABLEDISPOSITIVOS DE LÓGICA PROGRAMABLE

Introducción

Con el fin de permitir la construcción de circuitos lógicos usando el concepto de lógica programable, los fabricantes de circuitos integrados producen dispositivos de alta velocidad, con los que se pueden desarrollar ecuaciones lógicas de toda clase, tanto combinatorias como secuenciales.

En estos circuitos dedicados, el usuario puede “programar”, en un solo chip, funciones lógicas que de otra forma (con compuertas, por ejemplo) utilizarían muchos componentes y, por tanto, mucho espacio. A estos dispositivos se les conoce con el nombre genérico de Dispositivos Lógicos Programables o PLD´s.

Simbología de la lógica programable.

Fig. 13.1 Circuito de entrada.

Fig. 13.2 Fusible sin remover.

Fig. 13.3 Representación de compuertas de múltiples entrada.

Los dispositivos lógicos programables se pueden agrupar bajo dos patrones:

Por su estructura interna.

62

Page 63: Curso de Electronica Digital

Por su capacidad de borrado y reprogramación.

Por su estructura interna.

Un dispositivo lógico programable puede ser imaginado como un generador universal de sumas de productos (AND-OR). Como tales, los componentes de esta familia están realizados con base en dos redes de compuertas lógicas elementales: AND y OR.

En la siguiente figura puede verse el diagrama a bloques de una estructura programable constituida básicamente por dos niveles: el primero formado por una red de compuertas AND, que acepta las señales de entrada. El segundo nivel formado por una red de compuertas OR, suministra, finalmente las salidas de las funciones.

Fig. 13.4 Estructura general de un PLD.

PROM (Programmable ROM) / Memoria ROM programable.

Fig. 13.5 Estructura de un PROM de 16X4.

63

Page 64: Curso de Electronica Digital

Una característica importante de la PROM, en lo que concierne a la lógica de programación, es que las entradas están totalmente decodificadas por una red fija de compuertas AND que controlan una red programable de compuertas OR. Esto significa que cada condición de entrada está representada por una compuerta AND independiente.

Como existen 2n combinaciones posibles para n entradas, hay 2n compuertas AND en una PROM.

PAL (Programmable Array Logic) / Arreglo Lógico Programable.

La estructura básica es una red de compuertas AND programables, mientras que la red de compuertas OR es fija.

PAL de 6 entradas y 4 salidas

Fig. 13.6 Estructura de un PAL.

64

Page 65: Curso de Electronica Digital

PLA (Progammable Logic Array) / Arreglo Programable Lógico.

Un PLA es un arreglo de compuertas lógicas de propósito general cuyas interconexiones pueden programarse (arreglo AND y OR programables).

PLA de 4 entradas y 4 salidas.

Fig. 13.7 Estructura de un PLA.

65

Page 66: Curso de Electronica Digital

CONVERTIDORES DE ANALÓGICO A DIGITALCONVERTIDORES DE ANALÓGICO A DIGITAL

Introducción

Los seres humanos procesamos la información numérica empleando el sistema decimal. Sin embargo, la tecnología electrónica usa un camino diferente para manejar, a muy alta velocidad y eficiencia, las mismas cantidades. El sistema binario y los circuitos digitales constituyen el método más apropiado para manejar información numérica.

Algo similar ocurre, ahora con el tratamiento de los fenómenos naturales que nos rodean. Vivimos en un mundo cuyas dimensiones varían de manera continua o análoga a lo largo del tiempo.

La temperatura, la presión, el voltaje, la corriente, etc., son parámetros físicos que a través del tiempo toman una infinita cantidad de valores.

La mayor parte de los fenómenos del mundo real están compuestos de señales analógicas o continuas. En las primeras épocas del desarrollo de la electrónica, estas señales se procesaban también, de manera analógica (voltímetros analógicos de aguja, instrumentos de perillas y potenciómetros, las tiras de papel de los registradores de voltaje y temperatura, etc.).

A pesar de la gran cantidad de instrumentación analógica existente, procesar información presenta serias dificultades. Nuevamente, la tecnología digital aparece como la mejor solución. Las señales digitales pueden ser controladas y procesadas por circuitos lógicos simples o por microprocesadores.

Cuando un circuito digital requiere procesar información del mundo real debe estar dotado de dos tipos de interfaz o circuitos de entrada y salida: uno para convertir la señal analógica a digital (interfaz de entrada) y otro para convertir la señal digital a señal analógica (interfaz de salida).

Fig. 14.1 Tratamiento de las señales analógicas

Teoría del muestreo

La forma más eficaz para que un sistema digital pueda ver lo que ocurre en el mundo real es a través de la toma sucesiva de muestras a lo largo del tiempo. Si un circuito digital se dedica exclusivamente a tomar muestras de las señales externas, no deja espacio para otro tipo de operaciones.

Un convertidor ADC requiere un tiempo finito para realizar la conversión. Por ejemplo si un ADC hace una conversión de un nivel analógico a una palabra digital en 1 milisegundo, la máxima velocidad con que podrá muestrear el mundo real es de 1000 conversiones por segundo.

66

Page 67: Curso de Electronica Digital

Ahora surge una pregunta, ¿Cuál es la mínima frecuencia de muestreo de una señal analógica para que la conversión digital sea representativa?.

Para digitalizar fielmente una señal analógica se requiere que la frecuencia de muestreo sea al menos dos veces la frecuencia de la señal analógica de entrada. Por ejemplo, si se quiere convertir una señal analógica de 60 Hz se debe muestrear a una rata de por lo menos 120 ciclos por segundo. Esta frecuencia de muestreo, igual a dos veces la de la señal original, se le conoce como frecuencia de Nyquist.

Error de cuantización

El error de cuantización se origina en los cambios que puedan ocurrir en la señal analógica de entrada, durante el proceso de conversión.

En todos los ADC la señal de entrada se aplica a un comparador directamente. Además un ciclo de conversión requiere de un tiempo finito del orden de microsegundos a milisegundos, para producir la palabra digital equivalente al voltaje de entrada, pero si la señal de entrada cambia durante el ciclo de conversión la palabra digital resultante representará un nivel de voltaje existente al final del ciclo, en lugar del nivel existente al comienzo del ciclo de la conversión.

Si la señal que se pretende convertir a digital es DC, no se generará el error de cuantización. En cambio, si la señal de entrada tiene una rata de cambio alta, el error puede ser considerable.

Una manera muy común de evitar el error de cuantización es mediante el uso de un circuito de memorización analógica llamado S/H (Sample and hold: muestra y retención) ubicado en la entrada del convertidor.

Este tipo de memoria analógica esta compuesta por un interruptor electrónico de alta velocidad, un amplificador de alta impedancia de entrada y un condensador.

Fig. 14.2 Circuito de muestreo y retención

La señal de reloj o lógica activa un interruptor electrónico S1. El nivel de voltaje de la señal de entrada carga el condensador C1. De esta manera se memoriza o almacena la señal analógica.

Dado que la impedancia de entrada del amplificador es muy alta, el condensador no encuentra una trayectoria posible para que pierda su carga eléctrica.

En la salida del amplificador de ganancia unitaria o buffer se mantiene constante el voltaje existente en la entrada en el instante del pulso de reloj.

Esta salida puede ahora aplicarse a la entrada del ADC. Puesto que la señal es constante durante el ciclo de conversión, no hay posibilidades de un error de cuantización.

67

Page 68: Curso de Electronica Digital

Diagrama lógico de un convertidor A/D

Fig. 14.3 Diagrama lógico de un ADC genérico.

Las señales que lo integran son:

Entrada analógica. La línea de entrada de la señal analógica que se quiere digitalizar. Bus de salida de datos (D0 a D7). Estas líneas de salida entregan la palabra binaria que

corresponde al nivel analógico de entrada. START. Entrada para indicar al ADC que debe iniciar un nuevo ciclo de conversión. EOC (fin de conversión). Cuando el proceso de conversión ha finalizado, el ADC emite esta

señal para indicar al usuario que en el bus de datos del convertidor hay una palabra digital. OE (habilitador de salidas). El registro de salida de los convertidores es de tipo tri-state.

Mediante esta línea se habilita la salida. Se emplea esta señal de control en sistemas de computadoras que controlan varios dispositivos ADC.

68

Page 69: Curso de Electronica Digital

CONVERTIDORES DE DIGITAL A ANALÓGICO

Introducción

Un sistema digital nos entrega el resultado de lo que haya realizado en forma digital, de aquí surge la necesidad nuevamente de representar esta en forma analógica o bien que nos represente esta información en BCD o decimal.

Aquí es donde se emplea el Convertidor digital analógico o DAC.

Parámetros de los convertidores D/A

Un DAC tiene varios parámetros que deben considerarse en el momento de una selección para una aplicación determinada. Los tres más importantes son:

Resolución.

La resolución de un DAC esta dada por el número de niveles de voltaje analógico que es capaz de generar. Este parámetro esta relacionado directamente con el número de bits de entrada que conforman la palabra binaria. Un convertidor D/A de cuatro bits tiene una resolución de 4. El número de niveles de voltaje analógico que es capaz de generar es de 2n = 24 = 16.

Lo anterior significa que la salida analógica debe estar representada por 16 niveles de voltaje. Un DCA de 8 bits proporciona 256 niveles diferentes de voltaje. Un DAC de 12 bits puede entregar hasta 4096 niveles de voltaje analógico. En general, cuantos más bits tenga un convertidor D/A, más exactitud se logrará en la salida analógica.

Tiempo de estabilización.

Este parámetro describe el tiempo que requiere la salida analógica para estabilizarse después que la palabra binaria aparece en la entrada. Usualmente se especifica como el tiempo que toma la salida para estabilizarse dentro de un rango igual al valor correspondiente a +/- ½ LSB del cambio en la palabra de entrada.

Si un DAC de 8 bits tiene un rango entre 0 y 10 volts, entonces el valor que corresponde al LSB es igual a 10V/28 = 10V/256 = 0.039 V. La mitad de este valor es 0.0195 V. El tiempo de estabilización es el que se requiere para que la salida alcance 0.0195 V del valor esperado. Típicamente, el tiempo de estabilización es del orden de 10 uS.

Exactitud.

La exactitud se define como la variación +/- (positiva o negativa) desde la mitad (1/2) hasta 2 veces el valor de un LSB. Por ejemplo, para un DAC con una exactitud de +/- 1 LSB , el voltaje de salida analógico puede variar tanto como el valor equivalente a un bit.

69

Page 70: Curso de Electronica Digital

TRANSDUCTORESTRANSDUCTORES

Introducción

En la actualidad podemos comprobar como los sistemas digitales controlan parámetros físicos como la temperatura, la presión, la intensidad luminosa, etc. Estos sistemas necesitan dispositivos que sean capaces de convertir estos parámetros en parámetros eléctricos, que tratados adecuadamente puedan ser entendidos por ellos.

Transductor

Un sensor o transductor es un dispositivo capaz de entregar una corriente o voltaje proporcional al parámetro físico que mide. Gracias a estos dispositivos se puede controlar por medio de los sistemas digitales: la temperatura que existe en las habitaciones de una vivienda, en el habitáculo de un vehículo (clima), la presión que se ejerce sobre objetos (pinzas hidráulicas para tratar residuos tóxicos), la intensidad luminosa (encender o apagar el alumbrado publico).

El uso de sensores o transductores obliga a la existencia en nuestro circuito de otros dispositivos denominados acondicionadores de señal. Ya que los niveles de energía eléctrica que entregan estos sensores no son suficientemente altos para que puedan ser tratados directamente, cada sensor necesita un acondicionador de señal apropiado a sus características. Normalmente cada sensor está conectado a un circuito eléctrico (acondicionador de señal) para obtener una señal eléctrica de salida válida; los circuitos de medida de parámetros físicos están constituidos generalmente por varios bloques.

Fig. 16.1 Diagrama a bloques de un sistema de medida.

Los acondicionadores de señal más empleados son:

Puentes de medida. Excitadores de voltaje continuo (sistemas generadores de tensiones de referencia). Excitadores de voltaje alterno. Excitadores con generadores de corriente.

70

Page 71: Curso de Electronica Digital

SENSORES CAPTADORES DE LA INTENSIDAD LUMINOSA

Estos sensores se basan en el efecto fotoeléctrico, que es la sensibilidad que presenta una unión semiconductora PN cuando incide sobre ella una energía luminosa.

Fotodiodo

Cuando un fotodiodo es expuesto a la luz, por él circula una corriente. Si el fotodiodo está polarizado directamente, el aumento de corriente que se produce es insignificante, pero si la polarización es inversa, se produce una corriente apreciable.

Fig. 16.2 Fotodiodo.

La manera de medir la energía luminosa que se aplica a un fotodiodo es mediante la utilización de circuitos convertidores I/V.

Fototransistor

Los fototransistores son transistores que tienen como peculiaridad que su unión base-colector es sensible a la luz; el comportamiento es igual al del fotodiodo.

Fig. 16.3 Fototransistor.

Transductor fotoeléctrico

Cuando la luz incide en el cátodo, este emite electrones que son captados por el ánodo, produciéndose una corriente eléctrica utilizable en el circuito externo. Normalmente el cátodo y el ánodo están encerrados en una ampolla de vidrio o de cuarzo al vacío o llena de un gas inerte. Este tipo de transductor es muy útil para realizar fluxómetros (medidores de luz), contadores de personas y objetos, etc.

71

Page 72: Curso de Electronica Digital

Fig. 16.4 Transductor fotoeléctrico.

Transductor fotoconductivo

Se basa en las propiedades de ciertas sustancias como el sulfuro, el seleniuro, el teluro y el antimoniuro de plomo. Cuando incide luz en el material semiconductor, disminuye su resistencia, produciéndose un aumento de corriente en la salida

Los transductores fotoconductivos son muy útiles para detectar radiaciones en una amplia gama de longitudes de onda del espectro electromagnético. Mediante técnicas de enfriamiento, es posible mejorar la respuesta de este tipo de detectores a radiaciones de gran longitud de onda.

Un transductor fotoconductivo muy popular es la fotocelda o LDR (Light Depedent Resistor) de sulfuro de cadmio, utilizada en alarmas, contadores, sistemas automáticos de control y otras aplicaciones. La resistencia de una fotocelda típica tal como la ORP12 puede variar desde 10M en la oscuridad hasta 1 K en presencia de luz brillante.

Fig. 16.5 Fotocelda

Celda fotovoltaica

Cuando incide luz en la barrera que existe entre el material semiconductor y la capa metálica transparente, se genera entre esta última y la capa metálica de base un voltaje o diferencia de potencial.

Las celdas fotovoltaicas se construyen generalmente de selenio, óxido de cobre y germanio. Bajo condiciones normales de luz pueden producir voltajes de salida de 100 mV a 250 mV. Con altos niveles de iluminación (por ejemplo luz solar), este voltaje puede ser hasta de 500V o más.

Fig. 16.6 Celda fotovoltaica.

72

Page 73: Curso de Electronica Digital

SENSORES O TRANSDUCTORES DE TEMPERATURA.

En los procesos industriales actuales es de gran importancia la regulación de la temperatura a la que se desarrolla la fabricación de determinados dispositivos o elementos

Termistor

Un termistor es un dispositivo semiconductor cuya resistencia cambia con la temperatura. Los termistores son extremadamente sensibles a los cambios de temperatura y pueden ser calentados externamente por el medio ambiente o internamente por la corriente que circula por él.

Los cambios de resistencia causan que cambien también la corriente o el voltaje que suministran a las entradas del sistema electrónico donde son procesados. Esta característica los hace adecuados en aplicaciones de medición y control de temperatura.

Existen dos clases generales de termistores: los de coeficiente negativo de temperatura o NTC (Negative Temperature Coefficient) y los de coeficiente positivo de temperatura o PTC (Positive Temperature Coefficient).

En un termistor NTC, la resistencia disminuye a medida que aumenta la temperatura y viceversa.

Los termistores se fabrican por un proceso llamado sinterización empleando óxido de materiales como el níquel, el manganeso, el cobre y el cobalto. La cápsula es generalmente epóxica o de vidrio.

Los termistores deben montarse en sondas de prueba especiales, generalmente de acero o de vidrio, para protegerlos cuando se utilizan en líquidos y otros ambientes. La sonda esta eléctricamente aislada del cuerpo del termistor pero en íntimo contacto térmico con él.

Fig. 16.7 Símbolo del termistor.

Termopar

Los termopares o termocuplas vienen en una gran variedad de presentaciones y permiten medir y controlar con precisión variaciones de temperatura dentro de un amplio margen.

Los termopares se basan en un fenómeno físico llamado efecto Seebeck o termoeléctrico, el cual establece que cuando se unen dos materiales diferentes (hierro y constantán, por ejemplo), se establece entre sus terminales un pequeño voltaje o fem que es una función de la temperatura aplicada a la unión.

Fig. 16.8 Símbolo del termopar.

73

Page 74: Curso de Electronica Digital

Los termopares comerciales se designan con las letras (T, E, J, K, R, S) que identifican los materiales que contienen.

Los termopares se especifican generalmente por su sensibilidad o coeficiente térmico en uV/ºC y el rango de temperaturas de trabajo, especialmente el límite máximo. La vida media de los termopares se reduce drásticamente cuando operan prolongadamente cerca de la máxima temperatura admisible.

Detectores de temperatura por resistencia

Se emplean para medir temperaturas superiores a 150º, llegando aproximadamente hasta 600º. Están compuestos generalmente por níquel y platino, aunque también los hay de cobre. Estos materiales se caracterizan por presentar un coeficiente de temperatura para un margen de temperatura específico.

Fig.16.9 Símbolo del RTD

TRANSDUCTORES DE CAMPO MAGNETICO

Sensor de efecto Hall

El efecto Hall es la producción de un voltaje a través de un conductor o un semiconductor con corriente cuando se aplica un campo magnético externo perpendicular a la dirección de flujo de la corriente. Los dispositivos basados en este fenómeno se denominan transductores de efecto Hall.

Los transductores de efecto Hall se fabrican utilizando materiales como el bismuto y el arsénico, entre otros. Su aplicación más obvia es la medición y detección de campos magnéticos, por ejemplo los producidos por imanes, líneas de transmisión de energía eléctrica, hornos microondas, etc.

Los fabricantes de semiconductores han desarrollado varios dispositivos basados en el efecto Hall. Uno de los más populares es el interruptor de efecto Hall UGN-3020T de Sprague, el cual incluye un regulador de voltaje, un sensor de efecto Hall, un amplificador y un Schmitt-trigger.

74

Page 75: Curso de Electronica Digital

Fig. 16.10 Transductor de efecto Hall.

TRANSDUCTORES PRESION.

Cristales piezoeléctricos

Este tipo de dispositivos convierten variaciones de presión en variaciones de voltaje. Cuando se aplica una fuerza a las placas, en los extremos del cristal se produce un voltaje que es proporcional a la presión (fuerza por unidad de área).

A este fenómeno se denomina efecto piezoeléctrico y es típico de materiales como el cuarzo, la sal de Rochelle, el fosfato de amonio y el titanato de bario, entre otros. El voltaje de salida depende de la dirección de corte (x o y) del cristal con respecto a los ejes del mismo.

Se utilizan para medir dinámicamente fuerza, presión, nivel de sonido y otras aplicaciones. Son muy comunes en micrófonos y cartuchos de tocadiscos.

Fig. 16.11 Transductor fotoeléctrico.

75

Page 76: Curso de Electronica Digital

SISTEMA DIGITALSISTEMA DIGITAL

Introducción

El hecho de que los sistemas digitales han cambiado la forma de vida y el trabajo de la sociedad moderna es algo aceptado por todos. En la actualidad, resulta imposible hacerse a la idea de la existencia de una empresa o un banco que no emplee un sistema digital (computadoras, cajeros, sumadoras, fax, maquinas de escribir electrónicas, impresoras, etc.) y control de sus transacciones, facturas, nominas, etc. Y no se podría haber llegado al estado en que se encuentran las ciencias sin la ayuda de estos sistemas que permiten el almacenamiento de grandes cantidades de datos y la realización de complicadas operaciones de cálculo en tiempos mínimos.

La producción en masa de los dispositivos integrantes de los sistemas digitales, así como la mejora de las técnicas de realización y la gran competencia generada por la gran cantidad de empresas existentes en el sector, ha provocado la integración social de tales instrumentos electrónicos no sólo en empresas, universidades y centros dedicados al estudio y desarrollo de la ciencia, sino que también han facilitado su acceso por parte de los usuarios particulares, convirtiéndose de esta forma manera en un electrodoméstico más.

Sin embargo, y ante la creencia generalizada de que los sistemas digitales han surgido de repente en los últimos años, el proceso de desarrollo de tales ingenios ha durado varios siglos. Es justo reconocer que el verdadero auge ha tenido lugar en las tres o cuatro últimas décadas, pero no es menos justo reconocer que personajes de renombre tales como Blaise Pascal ya habían intentado construir rudimentarias máquinas hace más de tres siglos.

Sistema digital

Un sistema digital básicamente esta compuesto por tres partes principales:

La unidad central de procesos (CPU). Memoria central. Las unidades de entrada /salida.

Cada uno de estos tres bloques esta interconectado con los otros dos por medio de buses de dedicación especifica. De esta manera, se dispone de un bus de datos, que va a transportar la información o datos; un bus de direcciones, que servirá para acceder a la posición de memoria deseada; y un bus de control, por el que viajaran las señales que van a controlar el funcionamiento global del sistema, activando o desactivando el funcionamiento de todos los dispositivos físicos que integran el sistema.

Fig. 17.1 Diagrama a bloques de un sistema digital.

76

Page 77: Curso de Electronica Digital

Unidad central de proceso

La unidad central de proceso es el bloque que se encarga de gobierno de todo el sistema. A tal efecto, es el encargado de generar las señales de control que ejecutan las operaciones determinadas por una instrucción. Se puede establecer una división dentro de la CPU atendiendo su aspecto funcional.

Fig. 17.2 División del CPU.

Unidad de proceso (UP).

Su tarea es llevar a cabo las operaciones aritméticas, en las que están involucrados los datos. Además, es capaz de almacenar los resultados de tales operaciones para llevar a cabo otras en las que dichos resultados se conviertan en operandos.

Unidad de control (UC).

Se encarga de identificar y decodificar el código de operación de una instrucción, para después ir estableciendo el orden de ejecución de los pasos necesarios.

Este bloque integra realmente el centro de control del microprocesador. Para ello, produce las señales que ejecutarán los pasos marcados por las instrucciones almacenadas en memoria. Dichas señales son denominadas microórdenes.

Memoria central

La memoria central de un sistema digital esta compuesta por una memoria ROM y una memoria RAM.

Fig. 17.3 División de la memoria.

Memoria ROM

En este tipo de memoria es donde se guarda el programa (conjunto de instrucciones que le indica al sistema digital que es lo que tiene que realizar y que determinaciones ha de tomar).

77

Page 78: Curso de Electronica Digital

Memoria RAM

En esta memoria es donde se guarda los datos (información que esta siendo procesada por el sistema).

Dispositivos de entrada / salida

El sistema digital lleva a cabo la comunicación con el exterior a través de estos dispositivos.

Los periféricos pueden clasificarse en:

Periféricos de entrada. Periféricos de salida. Periféricos de entrada/salida.

Fig. 17.4 Tipos de periféricos.

Periféricos de entrada.

Los periféricos de entrada son todos aquellos dispositivos que permiten únicamente introducir información en el sistema digital.

Periféricos de salida.

Los periféricos de salida son los empleados para dar la información solo hacia el exterior los sistemas digitales.

Periféricos de entrada/salida.

Los periféricos de entrada/salida son aquellos dispositivos que nos permiten ya sea introducir datos al sistema o bien obtener datos del sistema (realizan ambas funciones).

78