Trabajo Colaborativo 2

15
TRABAJO COLABORATIVO 2 OTTO RUEFLI BARRERA Cod. 111538282 SISTEMAS DIGITALES SECUENCIALES – 90178 Grupo 45 ING. NANCY AMPARO GUACA UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD CEAD Yopal

Transcript of Trabajo Colaborativo 2

TRABAJO COLABORATIVO 2

OTTO RUEFLI BARRERACod. 111538282

SISTEMAS DIGITALES SECUENCIALES 90178Grupo 45

ING. NANCY AMPARO GUACA

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNADCEAD YopalINGENIERA ELECTRNICANOVIEMBRE 2013

INTRODUCCION.

En el siguiente trabajo veremos las temticas estudiadas en la unidad N 2 como son Flip-Flops y diseo de Mquinas de Estado, apoyados en investigaciones de ndole personal y el trabajo desarrollado en la prctica desarrollaremos un circuito secuencial el cual realizara una secuencia cclica iniciando con el nmero 2 y contando los nmeros pares hasta ocho, posteriormente el nmero cero e inicia el conteo de los impares hasta el nueve, debemos utilizar un display de siete segmentos y un contador 555 el cual debe ser programado para dar secuencia cada tres segundo. De igual forma se anexara un link de un video en el cual se explica el montaje del circuito, la secuencia y el funcionamiento de los componentes.

OBJETIVOS.

Afianzar los temas vistos en la unidad N 2 realizando investigaciones personales ms a fondo sobre los sistemas secuenciales y mquinas de estado. Resolver el problema propuesto en la gua de actividades utilizando cada uno de los elementos recomendados en esta, conocer su funcionamiento y desempeo. Realizar el proceso de resolucin paso a paso lo que nos ayudara a incrementar habilidades y destrezas en este tipo de circuitos Realizar simulacin en Proteus del circuito resulto y realizar pruebas de funcionamiento del contador cclico.

DISEO.

1. Descripcin del Problema.Una vez estudiados los contenidos de la unidad II y apoyados en los documentos de las referencias bibliogrficas, el equipo de trabajo debe disear un circuito secuencial que permita en un display de siete segmentos la secuencia de diez nmeros de manera cclica. 0 8 6 4 2 1 3 5 7 9

2. Verificacin. El equipo de trabajo debe realizar todo el proceso o pasos necesarios para la realizacin del circuito, recuerde que se debe hacer dos videos, uno para la simulacin en proteus funcionando de manera que la secuencia se pueda apreciar en el display siete segmentos. La secuencia debe observarse cada tres segundos, para lo cual, el informe debe incluir los clculos de temporizacin del circuito 555.

DESARROLLODe acuerdo con la descripcin de problema se requieren cuatro (4) seales binarias de 0 y 1 respectivamente con la siguiente secuencia: 0000 - 1010 - 1000 - 0100 0010 - 0001 - 0101 - 0101 - 1001 - 1011, que en decimal es 0 8 6 4 2 1 3 5 7 9

DIAGRAMA DE ESTADOS.

Describimos el contador mediante un diagrama de estado, que nos muestra la manera como avanza la secuencia cada vez que se aplica un pulso de reloj. El numero de estados por lo que pasa el contador es de 10 por lo que se necesitarn 4 Flip Flop para su diseo y funcionamiento.

Diagrama de estados: es un grafico orientado en el que cada nudo es un estado y cada transicin indica el cambio, tanto de estado como de salida, respecto a un cambio en alguna de las seales de entradas, es el primer paso en el diseo de circuitos secuenciales en general.000008100091001

60110

70111

5010140100

2001030011

10001

Tabla de estado y de salida:

Es una representacin tabular del grafico anterior, el prximo paso es el de desarrollar la tabla de transiciones a partir del diagrama de estado Las entradas se representan como columnas, y los estados presentes como las; y en el interior de cada celda, se indica el prximo estado y el valor que tomar la salida cuando sufra la transicin, en ella listaremos para cada uno de los estados presentes de la secuencia, cul debe ser el valor de entrada de los Flip Flop para que al aplicarse un pulso de reloj se pase al siguiente estado de la secuencia (estado futuro). Para ello nos ayudamos con la tabla de excitacin de los Flip Flop. Podemos disear el contador utilizando Flip Flop tipo JK.

Tabla de estado Flip Flop JK.

Esta tabla de estado es de gran importancia y es necesario que quede bien estructurada ya que de esta tabla depende el diseo. ACTUALSIGUIENTE

Q3Q2Q1Q0Q3Q2Q1Q0

0000081000

8100060110

6011040100

4010020010

2001010001

1000130011

3001150101

5010170111

7011191001

9100100000

En la primera fila se encuentra el estado 0000 que corresponde al decimal 0 y el prximo estado de la cuenta debe ser el 1000 que corresponde al decimal 8.

TABLA DE EXCITACIN

Durante el proceso de diseo se conoce por lo general la transicin del presente estado al siguiente y se desea encontrar las condiciones de entrada del flip-flop que encuentre la transicin requerida. Por esta razn, se necesita una tabla que liste las entradas necesarias para un cambio de estado dado.

FF4FF3FF2FF1

J3K3J2K2J1K1J0K0

0X1XX10X

0XX01X0X

1XX1X10X

X10X0X0X

0X0X0X1X

0X0X1XX0

0X1XX1X0

0XX01XX0

1XX1X1X0

En las entradas de los Flip Flop deberemos poner los valores necesarios para pasar del estado presente al estado futuro cuando baje el pulso de reloj.

MINIMIZACIN DE FUNCIONES DE ENTRADA DE LOS FLIP FLOP.

Realizamos tabla de ubicacin para facilitar la simplificacin.Q1`Q0`Q1`Q0Q1Q0Q1Q0`

00011110

Q3`Q2`005671

Q3'Q2012893

Q3 Q211

Q3Q2`104

De la tabla de transiciones para cada una de las entradas de los FlipFlop obtenemos los Mapas de Karnaugh en funcin del estado presente, y simplificando obtenemos las funciones de las entradas de cada FlipFlop.J3Q1`Q0`Q1`Q0Q1Q0Q1Q0`

00011110

Q3`Q2`000000

Q3'Q2010011

Q3Q2`

Q3Q2`10X

J3 = Q3 Q2

J2Q1`Q0`Q1`Q0Q1Q0Q1Q0`

00011110

Q3`Q2`000011

Q3'Q201XXXX

Q3Q211

Q3Q2`100

J2 = Q3 Q1

J1Q1`Q0`Q1`Q0Q1Q0Q1Q0`

00011110

Q3`Q2`0001XX

Q3'Q20111XX

Q3Q2`

Q3Q2`100

J1 = Q2 + Q1

J0Q1`Q0`Q1`Q0Q1Q0Q1Q0`

00011110

Q3`Q2`001XX0

Q3'Q2010XX0

Q3Q211

Q3Q2`100

J0 = Q3`Q2' Q1`Q0`

Las funciones simplificadas de los JK de los FF quedan:

J3 = Q3 Q2 J2 = Q3 Q1J1 = Q2 + Q1 J0 = Q3`Q2' Q1` Q0`

Clculo del retardo 555 de 3 sg empleamos la siguiente frmula:

Tiempo de retardo para cambio de direccin de 5 seg, le damos un valor de 20F para el condensador y resolvemos la siguiente ecuacin:3

VERIFICACION.

MONTAJE EN SIMULADOR PROTEUS

http://www.youtube.com/watch?v=Ip_8l1G8Fcw

LINK DEL VIDEO DONDE SE EXPLICA EL FUNCIONAMIENTO DEL CIRCUITO.

Este trabajo fue realizado con los compaeros de grupo en las prcticas desarrolladas en el CEAD de Yopal Casanare (Otto Ruefli). Tutor Practico Alexander Cely.

http://www.youtube.com/watch?v=qLDwN98I3Bg&feature=youtu.be

CONCLUSIONES.

Se logra el objetivo del problema planteado realizando un circuito secuencial o mquina de estado el cual nos hace un conteo cclico desde el 2 hasta el 8 luego 0 e iniciando nuevamente con el 1 hasta el 9. Se refuerzan los conocimientos aprendidos y se adquiere habilidad en la programacin de FF, pasando por su desarrollo paso a paso identificando cada uno de los diferentes estados, realizando simplificacin y montaje en simulador Proteus. Se afianzan los conceptos sobre el dispositivo 555 que nos da un retardo de tiempo, se aplica formula en la cual podemos alterar el valor de la resistencia y el capacitor para ajustar el tiempo.

BIBLIOGRAFIA

http://es.wikipedia.org/wiki/M%C3%A1quina_de_estados

http://www.youtube.com/watch?v=KRE-igv2Adg

http://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/070101.htm

http://www.google.com.co/url?sa=t&rct=j&q=maquinas%20de%20estado&source=web&cd=7&cad=rja&sqi=2&ved=0CEMQFjAG&url=http%3A%2F%2F200.69.103.48%2Fcomunidad%2Fprofesores%2Fjruiz%2Fjairocd%2Ftexto%2Fcirdig%2FMaquinas%2520de%2520estado.ppt&ei=XrGeUcPyN5Tq8gT6soCABA&usg=AFQjCNHNn265RXBmUqmsFK3psUbuZfleZw

http://200.69.103.48/comunidad/profesores/jruiz/jairocd/texto/cirdig/maquinasdeesf.pdfhttp://www.youtube.com/watch?v=9Lfkqa0fuZ0

http://www.youtube.com/watch?v=YroiOXm8ZbE

http://www.youtube.com/watch?v=6Iy0BKfOyS4

http://www.alumnos.inf.utfsm.cl/~raraya/arq/material/Capitulo_4.pdf

http://markblogs-markmendoza.blogspot.com/2010/12/diagramas-de-estado.html