Sumador Restador 4 Bits

10
CIRCUITOS Y COMPONENTES DIGITALES PUERTAS LÓGICAS  OR  AND Inversor   NOR  NAND EXOR  CIRCUITOS COMBINACIONALES   Codificadores Decodificadores Multiplexores Demultiplexores  Comparadores  Sumadores CIRCUITOS SECUENCIALES BÁSICOS Biestables Asíncronos Biestables Síncronos CIRCUITOS SECUENCIALES INTEGRADOS Contadores Asíncronos Contadores Síncronos Registros de Desplazamiento EJERCICIOS DE ELECTRÓNICA DIGITAL EJERCI CI OS DE E DUCACI Ó N SECUNDARIA SELECTIVIDAD EXTREMADURA SELECTIVIDAD MADRID EJERCICIOS DE UNI VERS IDAD  COMBINACIONALESSECUENCIALESINICIO Y ENLACESCIRCUITOS ARITMÉTICOS SUMADORES.  La suma en binario sigue el mismo método que en decimal. Por ejemplo, la suma del número 13 (1101) y 8 (1000) tiene la siguiente representación: 1 1 0 0 0 acarreos  1 3 1 1 0 1 sumandos + 8 1 0 0 0 2 1 decimal binario 1 0 1 0 1 resultado La suma de dos números binarios de un dígito tiene la siguiente tabla de verdad: ENTRADAS SALIDAS A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Las salidas tienen las siguientes expresiones lógicas: ; C=AB.

Transcript of Sumador Restador 4 Bits

Page 1: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 1/10

CIRCUITOS Y COMPONENTES DIGITALES 

PUERTASLÓGICAS  

OR  

AND Inversor  

 NOR  

 NAND EXOR  

CIRCUITOSCOMBINACIONALES  

Codificadores 

Decodificadores Multiplexores 

Demultiplexores 

Comparadores Sumadores 

CIRCUITOSSECUENCIALES

BÁSICOSBiestables

Asíncronos Biestables Síncronos 

CIRCUITOSSECUENCIALESINTEGRADOS

Contadores

Asíncronos ContadoresSíncronos 

Registros de

Desplazamiento 

EJERCICIOS DE ELECTRÓNICA DIGITAL 

EJERCICIOS DE EDUCACIÓNSECUNDARIA

SELECTIVIDAD EXTREMADURA 

SELECTIVIDAD MADRID

EJERCICIOS DE UNI VERSIDAD  

COMBINACIONALES  SECUENCIALES 

INICIO Y ENLACES 

CIRCUITOS ARITMÉTICOS 

SUMADORES. 

La suma en binario sigue el mismo método que en decimal. Por ejemplo, la suma

del número 13 (1101) y 8 (1000) tiene la siguiente representación:

1 1 0 0 0 acarreos 

1 3 1 1 0 1sumandos 

+ 8 1 0 0 02 1 decimal binario 1 0 1 0 1 resultado 

La suma de dos números binarios de un dígito tiene la siguiente tabla de verdad:

ENTRADAS SALIDASA  B  S  C 

0 0 0 0

0 1 1 0

1 0 1 0

1 1 0 1

Las salidas tienen las siguientes expresiones lógicas:

; C=AB.

Page 2: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 2/10

El circuito lógico denominado semisumador es el siguiente:

Como podemos ver del ejemplo anterior, la suma binaria de dos bits A y B con

un acarreo anterior C0 tiene como salidas un resultado S y un acarreo posteriorC1, con la siguiente tabla de verdad:

ENTRADAS SALIDASA  B  C0  S  C1 

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 01 0 1 0 1

1 1 0 0 1

1 1 1 1 1

Aplicando las simplificaciones de Karnaugh a las salidas S y C1 tenemos:

Page 3: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 3/10

 

Se deduce que la función para la suma, S, no es simplificable; se trata de una

función O-exclusiva de tres variables:

;

La función para el acarreo, C1, se simplifica de la siguiente manera:

C1= AB +AC0 + BC0.

Así, el circuito al que da lugar, que se llama sumador total, es el siguiente:

Page 4: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 4/10

 

Este circuito lo podemos representar como un bloque sumador total:

Acoplando estos bloques se puede sumar números binarios de cualquier tamaño.

Por ejemplo, de 4 bits:

Page 5: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 5/10

Este circuito se puede encontrar comercialmente en el circui to integrado 74283 ,

sumador binario de 4 bits con llevada. Este circuito integrado es un sumador dedos datos de 4 bits cada uno con llevada de entrada y llevada de salida.

Pines:

La relación de pines de este integrado es la siguiente:

A1...A4: Pines de entrada del dato A. El bit de menor peso el A1. Entradas sin

inversión.

B1...B4: Pines de entrada del dato B. El bit de menor peso el B1. Entradas sininversión.

C0: Pin de la llevada de entrada. Entrada sin inversión.

C4: Pin de la llevada de salida. Se trata de una salida sin inversión.

S1, S2, S3, S4: Pines de salida de la suma. Estos salidas indican el valor de la

suma de los dos datos de entrada y la llevada de entrada. S1 es el bit de menor

 peso (LSB). Son cuatro salidas sin inversión.

Funcionamiento:

Este dispositivo realiza la suma de los dos datos de entrada de cuatro bits cada

uno (A+B) y la llevada de entrada (C0). El valor obtenido se saca por los cuatro

 pines de salida (S) y el de la llevada de salida (C4). Este funcionamiento se puede

observar en la siguiente tabla de función.

Page 6: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 6/10

 

Las condiciones de entrada A1, B1, A2, B2 Y CO son usadas para determinar las

salidas S1, S2 y el valor interno de la llevada C2. Los valores de C2, A3, B3, A4y B4 son para determinar las salidas S3, S4 y C4.

Los acarreos permiten que se puedan acoplar varios circuitos, consiguiendo

sistemas que puedan sumar números binarios mayores. Por ejemplo, si

acoplamos dos sumadores del tipo del circuito integrado 7423 conseguimos un

sumador de 8 bits.

RESTA BINARIA. 

Aplicando la lógica binaria se pueden realizar circuitos restadores al igual que

hemos hecho los sumadores. Pero, para aprovechar los circuitos sumadores y

Page 7: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 7/10

 poder realizar la suma y la resta con el mismo circuito, se suma el minuendo y el

complementario del sustraendo.

Para ello, es necesario saber cuando un número es positivo o negativo. Esto se

realiza añadiendo un bit a la izquierda del número (bit de signo). El número es

 positivo si este bit es cero, y negativo si el bit de signo es uno.

Se llama el complemento a uno de un número binario B de n dígitos a 2n-1 - B y

se realiza cambiando los unos por ceros y los ceros por unos. Por ejemplo, el

número 25 en binario es 11001, su complemento a uno es 25-1 - 25 = 6 (110 en

 binario), cambiando los unos por ceros y los ceros por unos tenemos 00110 (6 en

decimal).

Para realizar la resta mediante el método del complemento a uno, se

complementa el sustraendo y se le suma el minuendo. Al resultado hay que

sumarle el acarreo que se produce después de sumar los bits de signo (bit más ala izquierda). Si el resultado es positivo, este valor es el resultado directamente,

 pero si el resultado es negativo viene dado en complemento a uno. Ejemplo:

bitdesigno 

1 1 1 1 1 1 acarreos 

1 3 0 1 1 0 1 Minuendo 

- 8 + 1 0 1 1 1Sustraendo encomplemento a

uno 

1 0 0 1 0 0

      + 1acarreo del bit

signo 

0 5 decimal binario 0 0 1 0 1 resultado 

Si realizamos la resta de 8-13 = -5:

bitdesigno 

0 0 0 0 0 acarreos 

8 0 1 0 0 0 Minuendo -

13 + 1 0 0 1 0

Sustraendo encomplemento a

Page 8: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 8/10

uno 

0 1 1 0 1 0

      + 0acarreo del bit

signo 

1 1 0 1 0 resultado encomplemento auno 

- 5 decimal binario 1 0 1 0 1 resultado 

Un circuito que realiza la suma y la resta en complemento a uno es el de la

figura:

Si el interruptor S/R está a cero el circuito realiza la suma de dos números de tres

 bits A y B, ya que las entradas B4 y A4 están a cero. Las puertas EXOR al tener

todas una entrada a cero, la salida se corresponde con la entrada de los bits (B3,

B2 y B1). El acarreo C0 será cero.

Si el interruptor S/R está a uno el circuito realiza la resta de dos números de tres

 bits, siendo el cuarto bit (A4 y B4) el de signo. El número A será siempre el

minuendo en positivo (A4=0 siempre) y el número B será el sustraendo que

 pondremos en negativo (B4=1) y complementado con las puertas EXOR con una

entrada a 1 y la otra el bit que queremos complementar (B3, B2 y B1). El acarreoC0 será cero si C4=0 y uno si C4=1. Si el resultado de la resta es positivo, este

valor se obtiene a la salida; pero si el resultado es negativo o cero a la salida

obtenemos el complemento a uno del resultado.

También se puede realizar la resta en complemento a dos para aprovechar los

circuitos sumadores y poder realizar la suma y la resta con el mismo circuito. El

Page 9: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 9/10

complemento a dos de un número binario B de n dígitos es 2n- B y se realiza

cambiando los unos por ceros y los ceros por unos, y al resultado se le suma uno.

Por ejemplo, el número 25 en binario es 11001, su complemento a uno es 25- 25

= 7 (111 en binario), cambiando los unos por ceros y los ceros por unos tenemos

00110 + 1=00111 (7 en decimal).

Para realizar la resta mediante el método del complemento a dos, se

complementa el sustraendo y se le suma el minuendo. Al resultado no hay que

sumarle nada como ocurría en el método del complemento a uno (el acarreo final

de los signos se desprecia). Si el resultado es positivo, este valor es el resultado

directamente, pero si el resultado es negativo viene dado en complemento a dos.

Ejemplo:

bitde

signo 1 1 1 0 0 0 acarreos 

1 3 0 1 1 0 1 Minuendo 

- 8 + 1 1 0 0 0Sustraendo encomplemento a

dos 

0 5 decimal binario 0 0 1 0 1 resultado 

Si realizamos la resta de 8-13 = -5:

bitdesigno 

0 0 0 0 0 acarreos 

8 0 1 0 0 0 Minuendo 

-

13 + 1 0 0 1 1

Sustraendo encomplemento a

dos 

1 1 0 1 1

resultado en

complemento ados 

- 5 decimal binario 1 0 1 0 1 resultado 

Un circuito que realiza la suma y la resta en complemento a dos es el de la figura:

Page 10: Sumador Restador 4 Bits

7/21/2019 Sumador Restador 4 Bits

http://slidepdf.com/reader/full/sumador-restador-4-bits 10/10

 

Si el interruptor S/R está a cero el circuito realiza la suma de dos números de

cuatro bits A y B. Las puertas EXOR al tener todas una entrada a cero, la salida

se corresponde con la entrada de los bits ( B3, B2 y B1). El bit B4, cuando

S/R=0, se que da como está después de la puerta NOR y el inversor. El bit A4

también se queda igual con S/R=0. El acarreo C0 será cero.

Si el interruptor S/R está a uno el circuito realiza la resta de dos números de tres

 bits, siendo el cuarto bit (A4 y B4) el de signo. El número A será siempre el

minuendo en positivo (A4=0 siempre, realizado con el inversor y la puerta NOR

cuando S/R=1) y el número B será el sustraendo que pondremos en negativo

(B4=1, realizado con la puerta NOR y el inversor cuando S/R=1) y

complementado con las puertas EXOR con una entrada a 1 y la otra el bit que

queremos complementar (B3, B2 y B1). El acarreo C0 será uno para sumárselo al

número B con los bits cambiados, para hacer el complemento a dos. Si el

resultado de la resta es positivo, este valor se obtiene a la salida; pero si el

resultado es negativo a la salida obtenemos el complemento a dos del resultado.

El acarreo C4 se desprecia en la resta.