GUIA DISEÑOS LOGICOS - INTENSIVO 2013

56
http://dragonballzpelicula2013.com/?hd GUIA DE INTENSIVO 2013 1) Transforma los siguientes números al sistema binario: a. 21 b. 112 c. 37 d. 529 e. 61 f. 214 g. 232 h. 28 2) Transforma los siguientes números binarios a decimales: a. 1110001 b. 110001 c. 1010101 d. 100 e. 10111 f. 11001101 3) Rellena la siguiente tabla : BINARIO DECIMAL HEXADECIMAL BCD 100011 35 23 110101 1111011 123 7b 100100011 10111100 188 BC 110001000 11111011 251 FB 1001010001 1001010 74 4A 1110100 10010011 147 93 |101000111 101010111100 2748 ABC 10011101001000 110110100100 3492 DA4 11010010010010 1011101 93 5D 1001001 10001100 140 8C 101000000 4) Simplificar por el método de álgebra de Boole a) F=a+b·a + a

Transcript of GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Page 1: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

http://dragonballzpelicula2013.com/?hd

GUIA DE INTENSIVO 2013

1) Transforma los siguientes números al sistema binario:a. 21b. 112c. 37d. 529e. 61f. 214g. 232h. 282) Transforma los siguientes números binarios a decimales:a. 1110001b. 110001c. 1010101d. 100e. 10111f. 11001101

3) Rellena la siguiente tabla :

BINARIO DECIMAL HEXADECIMAL BCD100011 35 23 1101011111011 123 7b 10010001110111100 188 BC 11000100011111011 251 FB 10010100011001010 74 4A 111010010010011 147 93 |101000111

101010111100 2748 ABC 10011101001000110110100100 3492 DA4 11010010010010

1011101 93 5D 100100110001100 140 8C 101000000

4) Simplificar por el método de álgebra de Boole

a) F=a+b·a+a

b) F=a·b·c+a·b · c+a·b·c·d

c) F=a·b·( a·b·c+a·b· c )d)F=a+b+c+a

e)F=a·b·c+a· b·c

f) F=a·b·c+aPasar a puertas NAND de 2 puertas 7400

Page 2: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

a) F=a+bc+(a+b)

b) F=ab+ab(c+d )+ac d

c) F=( a+b )(c+da )+cd+(c+d )(a+b (c+d )). Pasar las siguientes funciones a puertas NOR7402

a) F=ab c+b (c+d )

b)(a+b)( c+d )b(b+c (c+(d+e ))

c) F=ab c+(b+c )(a+b (c+d ))

5) Pasa la función lógica de los circuitos combinacionales siguientes a tabla lógica o tabla de verdad

a) F = A'BC'+A'BC+AB'C'+ABC'

b)

6) Convierte las siguientes tablas a funciones lógicas utilizando el método de los MINitérminos y MAXitérminos

Tabla a)a b c F0 0 0 00 0 1 10 1 0 0

Page 3: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

0 1 1 01 0 0 11 0 1 11 1 0 11 1 1 0

Tabla b)nº a b c d F0 0 0 0 0 11 0 0 0 1 12 0 0 1 0 03 0 0 1 1 04 0 1 0 0 15 0 1 0 1 16 0 1 1 0 07 0 1 1 1 08 1 0 0 0 19 1 0 0 1 010 1 0 1 0 111 1 0 1 1 012 1 1 0 0 013 1 1 0 1 114 1 1 1 0 115 1 1 1 1 0

7) Pasar el circuito formado por compuertas lógicas o circuito combinacional a función lógica o Booleana y determine su tabla de la verdad

8) Pasar a compuertas lógicas las funciones booleanas siguientes :

a) F= ((AB)'(C'+D'))+(A+B'))' b) F = (((A+B)'+(C'D'))'+(A'+B')'(C(A+B)')'

Page 4: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

9) Analiza los diferentes diagramas lógicos de la figura para obtener:a) Ecuación de la función que representa cada uno de ellos y su tabla de verdad.b) Obtener la función simplificada y el circuito lógico correspondiente

Page 5: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

10) Simplificar, mediante el método gráfico de Karnaugh o utilizando el algebra de boole, las funciones obtenidas de las siguientes tablas de verdad:

TABLA 1 TABLA 2 TABLA 3

TABLA 4 TABLA 5 TABLA 6

A B C F0 0 0 10 0 1 00 1 0 10 1 1 01 0 0 01 0 1 11 1 0 01 1 1 1

A B C F0 0 0 10 0 1 10 1 0 00 1 1 11 0 0 11 0 1 11 1 0 01 1 1 0

A B C F0 0 0 10 0 1 00 1 0 10 1 1 01 0 0 11 0 1 01 1 0 11 1 1 0

Page 6: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

11) Determinar la función booleana en la salida y simplifíquela si es posible, para el siguiente circuito lógico

12) Analice el circuito de la figura para obtener:

A B C D F0 0 0 0 10 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 10 1 1 0 10 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 11 0 1 1 01 1 0 0 01 1 0 1 01 1 1 0 01 1 1 1 0

A B C D F0 0 0 0 00 0 0 1 10 0 1 0 00 0 1 1 10 1 0 0 00 1 0 1 10 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 11 0 1 0 01 0 1 1 01 1 0 0 11 1 0 1 11 1 1 0 01 1 1 1 0

A B C F0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 11 0 1 11 1 0 11 1 1 0

Page 7: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

a) La ecuación de la función que representa.b) La tabla de verdadc) La implementación de la función simplificada.

13) Simplifique, mediante un diagrama de Karnaugh o utilizando el algebra de boole, la función booleana

a) Implemente sólo con puertas NOR de dos entradas.b) Implemente sólo con puertas NAND de dos entradas.c) Implemente con compuertas básicas

14) Escriba la expresión booleana no simplificada en forma de producto de sumas (maxterms) para la tabla de verdad de la figura.

a) Simplifique la función booleana obtenida mediante un diagrama de Karnaugh.

b) Dibuje el circuito lógico de la función simplificada que ha obtenido utilizando puertas básicas de dos entradas

15) Simplifique, mediante un diagrama de Karnaugh, la función booleana

Page 8: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

a) Implemente la función simplificada sólo con puertas NOR de dos entradas.b) Implemente la función simplificada sólo con puertas NAND de dos entradas.c) Implemente con compuertas básicas

16) Analice el circuito de la figura para obtener:

a) La ecuación de la función que representa.b) La tabla de verdad.c) La implementación de la función simplificada.

17) A partir de los cronogramas de las entradas A, B y C y de la salida F de un circuito lógico que aparecen en la figura, determinar cuál es su estructura de puertas.

18) El circuito eléctrico de la siguiente figura, qué tipo de puerta lógica representa.

Establecer la tabla de verdad y representar la compuerta lógica.

Page 9: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

19) El circuito eléctrico de la siguiente figura, qué tipo de puerta lógica representa. Establecer la tabla de verdad y representar la compuerta lógica.

20) Establecer la tabla de verdad y representar la compuerta lógica correspondiente al siguiente circuito.

21) Establecer la tabla de verdad y representar la puerta lógica correspondiente al siguiente circuito.

Page 10: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

22) El circuito eléctrico de la siguiente figura, qué tipo de puerta lógica representa. Establecer la tabla de verdad y representar la puerta lógica.

23) Establecer la tabla de verdad y representar la puerta lógica del circuito de la figura:

24) Realizar el circuito lógico combinacional equivalente, la tabla de la verdad y la función lógica que representa el siguiente circuito eléctrico:

Page 11: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

25) Implemente en forma canónica y simplificada

a) f = a b + a b c + a b c + a b

b) f = a + b + c + a b c

c) f = (a c + c) (a + c) (b c + a + a)

d) f = a b c + a c + b

e) f = (a c + a b c d ) + b c d

f) f = a b c + a b d + a b

g) f = (a c + c) (a + c) (b c + a + a)

h) f = (a + b) (b + c) (a + c)

i) f = (a b + c d) c

PROBLEMAS SSI Y/ O MSI

26) Diseñar un circuito lógico de manera que teniendo por entrada un nº binario de 4 bits (valores decimales del 0 al 15), se obtengan 5 salidas, una que nos exprese las decenas (1 bit), y otras 4 que nos expresen las unidades. Realice tabla de la verdad, simplificación de las funciones de salida. Implementación de los circuitos de salida con compuertas básicas (AND, NOT Y OR) y solo con compuertas NOR.

27) Diseñe un circuito que tome un número de 4 bits (A3, A2, A1, A0) y produzca una salida S que sea verdadera si la entrada presenta un número primo. Realice tabla de la verdad, simplificación de la función de salida. Implementación de los circuitos de salida con compuertas básicas (AND, NOT Y OR), solo con compuertas NOR y solo con compuertas NAND.

28) Una oficina tiene un dispositivo de alarma formado por cuatro sensores (A;B;C;D).Los dos primeros son de tipo puerta y los dos segundos de tipo ventana. La alarma se acciona cuando al menos un sensor de cada tipo es activado. Utilice para su diseño lógica negativa. Se pide: Tabla de la verdad, mapa de Karnaugh y función lógica simplificada e implementación del circuito

Page 12: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

con compuertas básicas (AND, OR Y NOT), solo con compuertas NOR y solo con compuertas NAND.

29) Diseñe un señalizador de juego con compuertas digitales comerciales. El circuito debe indicar con un led de color verde si el jugador gana, rojo en caso de que pierda, amarillo si repite la jugada. El juego consiste en un acumulado que se incrementa o disminuye, según sea el valor dado por un contador aleatorio que debe pulsarse para realizar la jugada. En la tabla se indican los porcentajes ganados o perdidos. Sin embargo, no deben ser representados en la salida del circuito digital.

Contador Aleatorio ResultadoRepite jugada

Pierde 75%

Gana 90%

Pierde 60%

Gana 70%

Repite jugada

Gana 50%

Pierde 70%

Repite jugada

Pierde 65%

Gana 30%

Repite jugada

Gana 60%

Las combinaciones que no están contempladas en la tabla, las salidas de las funciones, deben ser colocadas a cero. Realice tabla de la verdad, simplifique las funciones de salida e implemente los circuitos de cada salida utilizando compuertas (AND, OR, NOT), solo utilizando compuertas NOR y solo utilizando compuertas NAND.

30) Diseñar un circuito digital, con compuertas, que sume dos datos uno de dos bits y otro de 1 bit. El circuito debe poseer una entrada adicional que permita detectar cuando hay acarreo de entrada en la operación. La salida del circuito debe indicar y señalizar en el resultado de la suma y el acarreo de salida. Se pide: Tabla de la verdad, mapa de Karnaugh y función lógica simplificada e implementación del circuito con compuertas básicas (AND, OR Y NOT), solo con compuertas NOR y solo con compuertas NAND.

31) Diseña e implementa con puertas lógicas un circuito que permite decidir si se ve o no la televisión en una casa. Se deben cumplir las siguientes condiciones:

Page 13: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

a) La decisión la toman siempre los padres.b) Si los padres no se ponen de acuerdo, entonces es el hijo quien decide.Se pide: Tabla de la verdad, mapa de Karnaugh y función lógica simplificada e implementación del circuito con compuertas básicas (ANDO, OR Y NOT), solo con compuertas NOR y solo con compuertas NAND.

32) En un sistema de seguridad hay tres niveles de jerarquía, el bloqueo es controlado por pares. Cada uno de los niveles genera una señal de 5 voltios cuando desea bloquearse, para que esto suceda, basta que el nivel 1 y el nivel 2 estén en alto. Si esto no sucede, entonces el bloqueo podrán hacerlo los niveles 1 y 3, o los niveles 2 y 3 respectivamente. El sistema no permite el bloqueo cuando los tres niveles se encuentran en alto. Diseñar e implementar con compuertas (AND, OR Y NOT, EXOR, etc.…), solo con compuertas NAND y solo con compuertas NOR, además, se debe señalizar con led rojo el bloqueo y led verde el desbloqueo.

33) Luis, Ana, Pedro y sus padres van para el cine bajo ciertas condiciones que son limitadas por la Madre y el Padre. Ellos van al cine si la Madre y el Padre le dan permiso; de lo contrario, si los dos no le dan permiso; si los padres están en desacuerdo la salida al cine debe ser resuelta por mayoría absoluta entre todos los integrantes de la familia. Diseñar un circuito digital utilizando compuertas lógicas (AND, OR, NOT), usando solo compuertas NOR y usando solo compuertas NAND, que señalice con un diodo led el momento cuando puedan ir al cine.

34) En algunos juegos con baraja española clásica de 40 cartas, como el tute, la puntuación asociada a cada carta es la siguiente:

1 (As) = 11

3 = 10

10 (Sota) = 2

11 (Caballo) = 3

12 (Rey) = 4

2, 4, 5, 6, 7 = 0

Se pide:

Suponiendo que las cartas están codificadas en binario natural, realizar un circuito que, dada una carta, obtenga su puntuación 1) Obtener las expresiones lógicas simplificadas de dicho circuito e implemente el circuito sólo con compuertas AND, OR Y NOT y también solo con compuertas NAND de 2 entradas .

35) Diseñar un circuito lógico que controle dos motobombas que extraen agua, la primera de un pozo P y lo lleva a un depósito D1, la segunda extrae agua de D1 y la lleva a otro depósito D2. Las condiciones de funcionamiento son las siguientes:Funcionaran las bombas siempre que esté lleno el lugar de donde se extrae el agua y esté vacío el depósito a llenar.Que no funcionen las dos bombas a la vez.

Page 14: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Los niveles los indican unos sensores que marcan 0 si el depósito o el pozo está vacío, y 1 si están llenos. La puesta en marcha de la bomba se realizará con un nivel lógico de 0 voltios. El circuito debe indicar además cuando se presente una situación extrema o alarmante, esto se hará con un nivel de 5 voltios.Determine variables de entrada y salida. Escribe la tabla de verdad para el sistema de control de la bomba e implemente el circuito lógico de control utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

36) Diseñar e implementar un circuito digital que mediante una señal de control “C” pueda seleccionar el tipo de conversión de código: con C =1, GRAY a BINARIO y con C =0, BINARIO a GRAY. El código es de tres bits. Realice el circuito utilizando compuertas (AND, NOT, OR), usando solo compuertas NAND y usando solo compuertas NOR.

37) Diseñar, empleando el menor número posible de compuertas, el circuito lógico necesario para controlar los segmentos b, e y d de un display de siete segmentos a partir de un número del 0 al 9 codificado en BCD. Considerar que cada segmento se enciende con un “1” y se apaga con un “0” lógico. Realizar el circuito de control del segmento “e” empleando únicamente puertas NOR de dos entradas y además utilizando compuertas AND, OR Y NOT; el segmento “b” únicamente compuertas NAND de dos entradas y además utilizando compuertas AND, OR NOT y el segmento “d” utilizando compuertas AND, OR Y NOT .

38) Diseñe un circuito digital con compuertas que funcione de la siguiente forma: Cuando "C" (No es un número, es un bit de selección), es igual a cero se debe detectar y señalizar, con un diodo led de color verde, todos los números divisibles por cuatro; el led rojo no debe encender. Si "C" cambia a un nivel de cinco voltios, entonces se debe detectar y señalizar, con un led de color rojo, todos los números divisibles por tres y además, con el led verde, los números divisibles por cinco. El conjunto de números va desde cero hasta quince, inclusive. Realice el circuito utilizando compuertas (AND, NOT, OR), usando solo compuertas NAND y usando solo compuertas NOR.

39) Para la realización de un sistema de votación rápida en una cámara con un presidente y tres vocales se desea instalar un sistema de cuenta electrónica de los votos. Todos los miembros de la cámara disponen de dos pulsadores: uno para votar a favor y otro en contra. Diseñar el bloque de control del número de votos de forma que cumpla las siguientes especificaciones:- En caso de que la mayoría de votos sean a favor la señal ‘resultado’ deberá ponerse a ‘1’.- En caso de que la mayoría de votos sean en contra la señal ‘resultado’ deberá ponerse a ‘0’.- En caso de empate la salida ‘empate’ se pondrá a ‘1’ y la señal ‘resultado’ tomará el valor que indique el voto del presidente.- En caso de que no exista empate la salida ‘empate’ permanecerá a valor ‘0’

Page 15: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Realizar el diseño y montaje del circuito empleando el menor número posible de compuertas. Realice el circuito utilizando compuertas (AND, NOT, OR), usando solo compuertas NAND y usando solo compuertas NOR.

40) Se desea diseñar e instalar un sistema que pueda detectar y señalizar el momento cuando cinco líneas telefónicas, sean utilizadas por el personal de una empresa. Las líneas L1 y L2 son utilizadas por el presidente de la empresa, y por ende, no deben generar señal de alarma; sin embargo, debe encender un indicador cuando las dos están ocupadas simultáneamente. L3, L4 y L5 generan alarma cuando dos o más están ocupadas al mismo tiempo; por otra parte, el indicador de ocupado debe encender cuando alguna de las tres líneas está ocupada. Las líneas telefónicas tienen un dispositivo acoplado que genera 0 Volt, en ocupado y 5 Volt cuando no está en uso. Además diseñe un circuito en el cual se indique cual de las líneas de presidencia está ocupada. Trabaje las señales de presidencia con circuitos distintos a los de las otras líneas telefónicas. Diseñe el circuito digital de compuertas que pueda indicar la señal de alarma y la señal de línea telefónica ocupada y las señalizaciones de presidencia. Realice su circuito con compuertas lógicas (AND, OR, NOT, EXOR,…ETC) y solo con compuertas NOR.

41) Un sistema de medida de posición consta de 4 sensores fijos (S3 a S0) y un foco luminoso que se asienta sobre el elemento móvil. Los sensores devuelven un valor lógico alto (1) cuando reciben la luz del foco. Se pretende diseñar un circuito que devuelva el valor de la posición, de acuerdo con las siguientes características:

• Si se ilumina un sólo sensor, la posición es el número de orden del sensor

• Si se iluminan dos sensores contiguos, se considerará que la posición es el promedio de los valores de los dos sensores

• No se puede dar ningún otro caso. Los casos en que no haya ningún sensor iluminado, o haya más de dos sensores iluminados, o haya dos sensores iluminados pero no sean contiguos, se considerarán imposibles por construcción y esto debe ser mostrado en el circuito a través de un led de diferente color al de los demás. Muestre a la salida tanto la parte entera como la parte decimal en el orden en que éstas van, utilizando leds de distinto color para cada caso.

Se pide:

a) Obtener las expresiones lógicas simplificadas de dicho circuito b) Realizar el circuito sólo con puertas OR, AND, NOT, solo con compuertas NOR y además solo con compuertas NAND de 2 entradas.

42) Para el aprovisionamiento de un pueblo, se dispone de un depósito que se llena conel agua que se bombea desde una presa. La bomba es accionada cuando se cumplen las dos condiciones siguientes:Cuando el nivel del depósito ha descendido hasta un nivel mínimo por lo que es necesario suministrarle agua.El nivel de la presa es superior a un nivel máximo predeterminado.Tome en consideración que los sensores de nivel detectan la presencia de agua con 0 Voltios y la señalización de que la bomba está accionada es con 5 Voltios.

Page 16: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Dado el tipo de diseño, si fuera necesario indique una situación peligrosa a través de una alarma.Determine variables de entrada y salida. Escribe la tabla de verdad para el sistema de control de la bomba e implemente el circuito lógico de control utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

43) Diseñar una calculadora que reste dos números de 2 bits A y B. (Debe haber una salida que indique si el resultado es positivo o negativo, deberá utilizarse un led de distinto color al del resultado de la resta). Determine variables de entrada y salida. Escribe la tabla de verdad para el sistema de control de la bomba e implemente el circuito lógico de control utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

44) Diseñar un circuito electrónico para poder abrir una cerradura controlada por un electroimán (relé). “La cerradura está bloqueada por el émbolo del electroimán, cuando no pase corriente por su bobina (posición de reposo). Cuando se introduzca mediante los tres interruptores de entrada la combinación de 1 y/o 0 lógicos adecuada, el electroimán se activará y se retirará el émbolo, lo que permitirá el desplazamiento del cerrojo" Combinación que permite la apertura de la cerradura:

a) Salida = 1 lógico cuando al menos una de las entradas B y C esté a 1 lógico.Cerradura bloqueada:b) Salida =0 lógico, cuando la entrada A esté a 1 lógico, independientemente del

estado de B y C.Obtener:1) La tabla de verdad.2) La función de salida.3) La simplificación de la función de salida.4) El circuito electrónico utilizando: a) compuertas AND, NOT Y OR, b) Solo

compuertas NAND y c) solo compuertas NOR..

45) Diseñar el circuito de control de un motor mediante tres interruptores a, b y c que cumplen las siguientes condiciones de funcionamiento utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.Si se pulsan los tres interruptores, el motor se activaSi se pulsan dos interruptores cualesquiera, el motor se activa, pero se enciende una lámpara de peligroSi sólo se pulsa un interruptor, el motor no se activa, pero sí se enciende la lámpara de peligroSi no se pulsa ningún interruptor, el motor y la lámpara están desactivados

46) Diseñar un circuito lógico combinacional para controlar una alarma P de una máquina. Se han instalado tres captadores/sensores (a, b ,c). La alarma se deberá activar cuando se cumplan cualquiera de las condiciones siguientes: (Activado = 1, desactivado = 0, indeterminado = 0 o 1).a) Sensores “a” y “b” desactivados y “c” indeterminado.b) Sensores “a” y “c” desactivados y “b” activado.c) Sensores “a” y “c” activados y “b” desactivado.

Page 17: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Obtener:1) La tabla de verdad y la función de salida.2) La simplificación de la función de salida.3) El circuito electrónico utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR..

47) Diseñar un circuito digital, utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, capaz gobernar un microrobot, haciendo que éste siga una línea negra pintada sobre un fondo blanco. El microrobot está dotado de dos sensores digitales capaces de diferenciar el color negro del blanco. La salida de estos sensores es ’0’ cuando leen blanco y ’1’ cuando leen negro. Además hay dos motores de corriente continua que son controlados cada uno mediante dos bits, denominados G(Giro “0” derecha, “1” Izquierda) y P (power)

48) Diseñe un decodificador BCD a decimal de 4 bits. En caso de exceder el número 9, si el número es par, se deben activar las salidas impares y, si el número es impar, se deben activar las salidas pares.

49) Se quiere realizar un circuito que controle una persiana y una ventana, dependiendo de las variables:

a) Temperatura mayor de 25°C.b) Que haya alguna persona en la casa.c) Que haya luz artificial.La ventana se debe abrir cuando la temperatura sea mayor de 25 °C y haya alguien en la casa, y la persiana se subirá cuando haya una persona en la casa, y no haya luz en la casa. Implementar con el mínimo número de compuertas utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR..

50) Un circuito lógico tiene 5 entradas y 1 salida. Cuatro de las entradas, A, B, C y D representan un dígito decimal en BCD. La quinta entrada, E, es de control. Cuando el control E esté en “0” lógico, la salida estará en “0” lógico si el número decimal es par y en “1” lógico si es impar. Cuando el control esté en “1”, la salida será “1” cuando la entrada sea múltiplo de 3 y “0” en caso contrario. Diseñar el circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR..

51) Diseñe un multiplexor 2:1 utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, con una entrada de control (selección) S, una señal de habilitación E y una señal de salida Y.

52) En un almacén se desea colocar un sistema de llamada. Debe poder ser accionado mediante un pulsador situado en el exterior o por un contacto de puerta que funciona cuando ésta se abre. Hallar la tabla de verdad, la función de salida y su simplificación, y el circuito lógico correspondiente. Trabaje con compuertas básicas y con compuertas universales NOR.

Page 18: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

53) En un registro de 4 bits cuyas salidas están disponibles al exterior, se almacena información en el código BCD.a) Realizar la tabla de verdad de un circuito lógico que detecte si el número contenido en el registro es mayor que 7 o menor que 3.b) Minimizar la expresión algebraica de la función obtenida a partir de la tabla realizada en el apartado anterior.c) Realizar la expresión mínima con puertas NAND.d) Realizar la expresión mínima con puertas NOR.e) Implemente el circuito. Si es mayor que 7 debe haber un indicador (led) al igual que si el número es menor que 3.

54) Diseñar un circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, que estando constituido por tres pulsadores a, b y c y dos lámparas L1 y L2, cumpla las siguientes condiciones de funcionamiento L1 se encenderá si se pulsan sólo 2 pulsadores cualesquiera L2 se encenderá si se pulsan los 3 pulsadores Si se pulsa un solo pulsador, cualquiera que sea, se encenderá L1 y L2

55) El funcionamiento de un montacargas está regulado mediante tres captadores situados debajo del mismo. Debe de funcionar en vacío (ningún captador accionado) y con cargas entres 10 y 100 Kg. (captadores a y b accionados), y debe de estar parado para cargas menores de 10 kg (captador a accionado) o superiores a 100 kg (los tres captadores accionados). El captador a está accionado siempre que lo está el b. Además los captadores a y b están accionados cuando lo está el c. Se pide: la tabla de verdad, la función lógica de funcionamiento, su simplificación y el diagrama lógico del circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

56) La salida de un sistema digital consiste en palabras de 3 bits que han de transmitirse a otro sistema alejado físicamente. Para proteger la información enviada ante errores introducidos en la transmisión, se ha determinado añadir un bit de paridad en la transmisión de cada palabra. Diseñar e implementar un circuito combinacional utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, capaz de generar dicho bit de paridad, que ha de ser “1” cuando el número de “1” en la palabra de información sea par o cero, y “0”en caso de que el número de “1” sea impar.

57) Diseñar un circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, que estando constituido por cuatro pulsadores a, b, c y d y dos lámparas L1 y L2, cumpla las siguientes condiciones de funcionamientoL1 se encenderá si se pulsan 3 pulsadores cualesquieraL2 se encenderá si se pulsan los 4 pulsadoresSi se pulsa un solo pulsador, cualquiera que sea, se encenderá L1 y L2

58) En el sistema binario, hay varias maneras de representar un número negativo. Una de ellas es el complemento a 9. Se genera obteniendo la diferencia a 9 de

Page 19: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

cada cifra decimal representada en binario natural. Así, por ejemplo, el complemento a 9 del número 164 será el 835 (8=9-1, 3=9-6, 5=9-4). Diseñar un circuito complementador que calcule el complemento a 9 de un número de 2 cifras escrito en código BCD. Implementarlo con el menor número posible de compuertas utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

59) Diseñar un circuito digital utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, constituido por 3 pulsadores a, b, c y una lámpara que funcione de forma que esta se encienda cuando se pulsen los tres pulsadores a la vez o uno cualquiera solamente.

60) Realizar la síntesis de una función f de cuatro variables a, b, c y d que tome el valor lógico “1” cuando el número de variables que están en estado uno es superior al de las que se encuentran en estado cero. Nunca puede haber más de tres variables en estado “1” simultáneamente. Obtener: a) La expresión mínima de producto de sumas. b) La expresión mínima de suma de productos. c) La implementación del circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

61) Construya un codificador con prioridad a la entrada de menor peso, que tenga 4 líneas de entrada y 2 líneas de salida utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

62) Un registro de salidas en paralelo A3 a A0 contiene un dígito codificado en BCD. Diseñar un sistema combinacional utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, que genere las siguientes funciones binarias.a) f1: adoptará el estado uno si el dígito contenido en el registro es divisible por dos y el estado cero en caso contrario.b) f2: adoptará el estado uno si el dígito contenido en el registro está comprendido entre 1 y 4 ambos inclusive y el estado cero en caso contrario.c) f3: adoptará el estado uno si el dígito contenido en el registro es divisible por cuatro y el estado cero en caso contrario.

63) La figura adjunta, muestra el cruce de una autopista principal con un camino de acceso secundario. Se colocan sensores de detección de vehículos a lo largo de los carriles C y D (camino principal) y en los carriles A y B (camino de acceso). Las salidas del sensor son BAJA cuando no pasa ningún vehículo, y ALTA cuando pasa algún vehículo.El semáforo del cruce se controlará de acuerdo a la siguiente lógica:

El semáforo E-O (Este-Oeste) estará en verde siempre que C y D estén ocupados

El semáforo E-O (Este-Oeste) estará en verde siempre que C ó D estén ocupados pero A y B no estén ocupados

El semáforo N-S (Norte – Sur) estará en verde siempre que los carriles A y B estén ocupados pero C y D no lo estén

Page 20: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

El semáforo N-S también estará en verde cuando A o B estén ocupados en tanto que C y D estén vacíos.

El semáforo E-O estará en verde cuando NO haya vehículos transitando.

Utilizando las salidas de los sensores A.B.C. y D como entradas, diseñe un circuito lógico para controlar el semáforo. Debe haber 2 salidas N/S, y E/O que pasen a Alto cuando la luz correspondiente se pone en verde. Además, se desea que existan 2 semáforos (uno rojo y uno verde) para cada camino, es decir, que cuando E/O esté en rojo N/S debe estar en verde y viceversa.

64) Se pretende realizar un circuito combinacional como el de la figura que consta de dos partes. C1 es un codificador con prioridad de 5 entradas con salidas codificadas en código binario. La prioridad de las entradas viene dada por el índice, siendo x4 la entrada más prioritaria y x0 la menos prioritaria. C2 es un circuito que convierte el número binario obtenido y2y1y0 a código Gray de 3 bits, z2z1z0. En ambos casos, el bit más significativo es el de mayor índice.

Se pide 1) Realizar el circuito C1 a) con compuertas NOR, b) con compuertas NAND y c)

con compuertas básicas AND, OR y NOT2) Realizar el circuito C2 a) con compuertas NOR, b) con compuertas NAND y c)

con compuertas básicas AND, OR y NOT

65) Diseñe un decodificador 2:4 con doble habilitación (h1, h2). Las salidas del decodificador son en nivel lógico alto. En condición normal del decodificador, la habilitación 1 (h1) se activa con un nivel lógico alto y la habilitación 2 (h2) con un nivel lógico bajo. Cuando no exista habilitación en el decodificador, la salida deberá presentar las siguientes secuencias: Si h1 y h2 están en baja, se activan las salidas en modo inverso, es decir, se activan las salidas según el complemento a 1 de las entradas; si h1 está en baja y h2 en alta, se activan las salidas más y menos significativas y, si ambos habilitadores están en alta, se activan las salidas intermedias.

66) El portón de un garaje comienza a abrirse cuando están accionados simultáneamente el pulsador manual (a) y el sensor de posición (b), situados en el exterior, o bien el pulsador manual (c) y el sensor de posición (d), situados en el interior. Ver figura adjunta. Determine:

a) Tabla de la verdad del control de aperturab) Función lógica del control de aperturac) Minimización e implementación del circuito de control de apertura utilizando: a)

compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

C1 C2

X4

X3

X2

X1

X0

Y2

Y1

Y0 Z0

Z1

Z2

Page 21: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

67) Dados dos números de 2 bits cada uno codificados en binario puro, implementar un circuito lógico combinacional que consiga realizar la multiplicación de ambos utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

68) Un piloto luminoso está controlado mediante tres pulsadores a, b y c. Hallar la tabla de la verdad del proceso de control, la función de control simplificada y la implementación del circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, de modo que el mismo cumpla con las siguientes especificaciones:

- El piloto luminoso se enciende al accionar los tres pulsadores a la vez- El piloto luminoso se enciende al accionar solo dos pulsadores cualesquiera- El piloto luminoso no se enciende solo al accionar solo un pulsador o ninguno. - Para su diseño utilice lógica negativa.

69) Diseñar un comparador de dos números binarios de tres bits, sin signo, que tenga 3 salidas A > B , A < B y A = B.

70) El funcionamiento de una máquina se controla mediante cuatro interruptores, de forma que solo arranque cuando esté activado un solo interruptor, dos de los interruptores o los cuatro de manera simultánea. Construya la tabla de la verdad, simplifique la función lógica y represente e implemente con el menor número de compuertas posibles el circuito obtenido, utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

71) Implemente con el mínimo número de compuertas posibles un circuito detector de paridad par de 4 bits utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR. Investigue sobre código de paridad de detección de error. Investigue acerca del código de paridad de detección de error.

72) Una lámpara de incandescencia debe de poder gobernarse mediante dos pulsadores A y B, de acuerdo a las siguientes condiciones: La lámpara se enciende si se cumple que A está accionado y B en reposo o el caso contrario. La lámpara está apagada si A y B están accionados o el caso contrario. Utilice para su diseño lógica negativa. Hallar la tabla de la verdad y la función característica, simplificar dicha función y realizar el circuito utilizando: a)

a

bc

d

Portón

Page 22: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

73) Implemente con el mínimo número de compuertas posibles un circuito detector de paridad impar de 4 bits utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR. Investigue sobre código de paridad de detección de error.

74) Un relé R para el accionamiento de un motor eléctrico está gobernado por la acción combinada de tres finales de carrera A, B y C. Para que el motor pueda entrar a funcionar, dichos finales de carrera deben reunir las siguientes condiciones: que A este accionado y B y C estén en situación contraria, que que B y C estén accionados y A este en situación contraria, que C esté accionado y A y B estén en situación contraria, que B esté en reposo y A accionado al igual que C. Hallar la tabla de la verdad y la función característica, simplificar dicha función y realizar el circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR. Utilice para su diseño lógica negativa.

75) Se debe implementar un circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, que tiene como entradas dos números binarios naturales de 2 bits (A1 A0 y B1 B0) y tres salidas Fpar, Fimpar y Fdif, donde Fpar será 1 cuando ambos números sean pares simultáneamente, Fimpar se pondrá a 1 cuando ambos números sean impares y Fdif se pondrá a 1 cuando los números tengan diferente paridad. Fdif deberá ser generada por Fpar y Fimpar.

76) En un determinado proceso industrial se verifica la calidad de unas piezas metálicas. Las piezas pasan a través de tres sensores que determinan el estado de las mismas. Si al menos dos sensores detectan defectos en las mismas serán desechadas.a) Escriba la tabla de verdad de la función de salida del detector de piezas defectuosas.b) Simplifique la función lógica mediante el método de Karnaugh.c) Implemente el circuito con puertas lógicas universales NAND.

77) Mediante tres pulsadores A, B y C queremos resolver la puesta en marcha de dos motores M1 y M2, según el siguiente programa: Si no se oprime ningún pulsador, ningún motor está en marcha; Si se oprime solo A entra en marcha el motor M1; Si se oprime solo B entran en marcha ambos motores; si se oprime solo C entra en marcha el motor M2 y si se oprimen A y C entra en marcha el motor M1. Hallar la tabla de la verdad y la función característica, simplificar dicha función y realizar el circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

78) Se pretende diseñar un circuito combinacional de cuatro bits de entrada, que detecte cuándo están activos los pesos 23 y 20 de la combinación. a) Escriba la tabla de verdad de la función lógica de salida. b) Simplifique la función lógica

Page 23: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

mediante el método de Karnaugh, b) Implemente el circuito con compuertas lógicas básicas y además solo con compuertas universales NOR.

79) Diseñe un circuito que tome un número de 4 bits (A3, A2, A1, A0) CODIFICADO en BINARIO COMPLEMENTO A UNO 4 BITS y produzca las salidas Y3, Y2, Y1 y Y0 correspondiente COMPLEMENTO A 2. Presente el numero A en display Y LEDS, al igual que la salida.

80) Se pretende diseñar un sistema de control de apertura automática de una puerta de un garaje de una nave industrial para vehículos pesados. Dicha apertura depende de tres sensores. El primero detecta la presencia de un vehículo, el segundo la altura del mismo y el tercero su peso. Un “1” en el sensor de presencia indica que hay un vehículo; un “1” en el sensor de altura indica que el vehículo excede los dos metros de altura; un “1” en el sensor de peso indica que el vehículo supera las dos toneladas. La puerta sólo se debe abrir cuando haya un vehículo esperando que además supere las dos toneladas de peso.a) Calcule la función lógica de salida del sistema de control de apertura de la puerta.b) Simplifique la función lógica mediante el método de Karnaugh.c) Implemente el circuito con compuertas lógicas básicas y con compuertas universales NAND y NOR.

81) Diseñe un circuito que tome un número de 4 bits (A3, A2, A1, A0) CODIFICADO en BINARIO COMPLEMENTO A DOS 4 BITS y produzca las salidas Y3, Y2, Y1 y Y0 correspondiente COMPLEMENTO A 1. Presente el numero A con LEDS, al igual que la salida.

82) Se pretende construir un circuito combinacional de control de paro automático del motor de un ascensor de un edificio. El funcionamiento del motor depende de 4 variables. En primer lugar, de que la puerta del ascensor esté abierta o cerrada (A); en segundo lugar, del peso de las personas que suben al ascensor (P); en tercer lugar, de que alguna de las persona haya pulsado los pulsadores de las distintas plantas (B); y por último, de la temperatura del motor (T). El motor se parará automáticamente siempre que la puerta del ascensor esté abierta, o bien se sobrepase el peso máximo, que es de 800 kg. T Temperatura; → P → peso; A → puerta; B → pulsador de plantaa) Calcule la función lógica de salida de paro automático del motor del ascensor.b) Simplifique la función lógica mediante el método de Karnaugh.c) Implemente el circuito con puertas lógicas universales NAND.

83) Una empresa de seguridad necesita implementar un circuito que determine la influencia conjunta de humo y calor, para un sistema de alarma de incendios. Dicho sistema debe realizar las siguientes operaciones:

Sumar los valores de humo y calor Cuando dicha suma sea mayor a cuatro unidades, el sistema debe devolver el

valor 4. Cuando dicha suma sea menor o igual a cuatro unidades, el sistema debe

devolver el valor de la suma.

Page 24: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Para este sistema existen dos sensores: calor (C) y humo (H); con una sensibilidad de dos bits.La salida debe estar en un display de siete segmentos.El diseño deber abordar los siguientes puntos: Descripción del circuito lógico. Comprobar mediante simulación el comportamiento especificado. Para ello,

completar el cronograma siguiente.

84) Diseñe un circuito digital de control, que compare a la entrada dos palabras binarias de 2 bits (ab y cd), de manera que cuando la combinación binaria formada por los bits ab, sea menor que la combinación binaria formada por los bits cd, la salida sea 1.a) Calcule la función lógica de salida.b) Simplifique la función lógica mediante el método de Karnaugh.c) Implemente el circuito con compuertas lógicas básicas y con compuertas universales NAND.

85) La apertura y cierre del tejado de un invernadero de flores de decoración depende del estado de 4 sensores que controlan la temperatura (T), la velocidad del viento (V), la presión atmosférica (P) y la humedad del ambiente (H). El cierre se producirá de manera automática cuando se active un motor controlado por la señal de salida del circuito de control que queremos diseñar. Dicha señal de salida pondrá en funcionamiento el motor siempre y cuando se produzca alguna de las siguientes condiciones climatológicas: T ACTIVO → La temperatura ambiente supera los 30º C; V ACTIVO → Velocidad del viento superior a los 50 Km/h; H ACTIVO → Humedad inferior al 40 %.

a) Calcule la función lógica de salida del circuito que activa el motor de cierre.b) Simplifique la función lógica mediante el método de Karnaugh.c) Implemente el circuito con compuertas lógicas básicas y con compuertas universales NAND ó NOR.

86) Se pretende diseñar un sistema de control digital para una parte de una operación de tratamiento de madera en una fábrica de muebles. Este sistema debe controlar cuatro motores (M1, M2, M3 y M4) que ponen en marcha una cinta transportadora, su bomba de lubricación, una sierra de cinta y una sierra de corte, respectivamente.

El sistema utiliza cuatro interruptores manuales on/off (S1, S2, S3 y S4), para controlar cada uno de los cuatro motores. Al activar (desactivar) el interruptor Si se pone en marcha (se para) el motor Mi.

Page 25: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Cuando la cinta transportadora esté funcionando, el motor que controla la lubricación de la cinta transportadora debe funcionar. El motor que controla a la cinta transportadora debe funcionar sólo cuando los interruptores S1 y S2 están activados.

Los motores de las sierras no requieren lubricación, pero nunca deben funcionar al mismo tiempo. Si los interruptores S3 y S4 se activan al mismo tiempo, el sistema debe pararse por completo, incluyendo los motores de la cinta transportadora y de lubricación.

Tampoco pueden funcionar al tiempo la cinta transportadora y la sierra de corte.

La lógica de control del circuito debe controlar los motores para evitar que se produzca cualquier condición no permitida debido a la manipulación incorrecta de los interruptores. Ese control se realiza parando completamente el sistema.

Diseñar el circuito digital de control:

a) Utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR.

b) Utilizando multiplexores.c) Utilizando decodificadores.

87) Dados dos números de 2 bits cada uno, implementar un circuito lógico combinacional que consiga realizar la suma y la resta, según seleccione el usuario y además active una alarma cuando el resultado obtenido en la operación sea erróneo.

88) Se desea diseñar el circuito de control de la señal de alarma de evacuación de una planta industrial de montaje. Para ello se dispone de tres sensores: un sensor de incendio (A), un sensor de humedad (B) y un sensor de presión (C).Los materiales con los que se trabaja en la planta de montaje son inflamables y sólo toleran unos niveles máximos de presión y humedad de forma conjunta. La señal de alarma se debe activar cuando exista riesgo de incendio o cuando se superen conjuntamente los niveles máximos de presión y humedad.

a) Obtenga la tabla de verdad y la función lógica.b) Simplifique la función obtenida utilizando el mapa de Karnaugh.c) Implemente la función simplificada con compuertas lógicas básicas y con compuertas universales NAND de dos entradas.

89) En una cierta empresa los cuatro directivos se distribuyen las acciones según A=40%, B=30%, C=20% y D=10%. Diseñar una máquina de escrutinio utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, sabiendo que cada miembro tiene un porcentaje de voto igual a su número de acciones y que para aprobar una moción los votos afirmativos deben superar el 50 %.

90) Se desea diseñar el circuito de control de activación de un motor de una máquina trituradora. En la máquina existen tres sensores de llenado A, B, C. El motor

Page 26: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

entrará en funcionamiento cuando se activen conjunta o individualmente los sensores B y C.

a) Obtenga la tabla de verdad y la función lógica.b) Simplifique la función obtenida utilizando el mapa de Karnaugh.c) Implemente la función simplificada con compuertas lógicas básicas y con compuertas universales NOR de dos entradas.

91) Diseñe un circuito que tome un número de 4 bits (A3, A2, A1, A0) CODIFICADO en BINARIO PURO y produzca las salidas Y3, Y2, Y1 y Y0 del CODIGO GRAY correspondiente

92) Se quiere diseñar un circuito combinacional de tres variables (A, B, C) cuya salida toma el valor lógico 1, si el número de variables de entrada a nivel lógico 1 es mayor que las que están a nivel lógico 0.

a) Obtenga la tabla de verdad y la función lógica.b) Simplifique la función obtenida utilizando el mapa de Karnaugh.c) Implemente la función simplificada con compuertas lógicas básicas, con compuertas universales NAND y con compuertas universales NOR.

93) En la torre de control de un patio de ferrocarril, un controlador debe seleccionar la ruta de los furgones de carga que entran a una sección del patio, provenientes de un punto A o B (ver tablero de control).

Dependiendo de las posiciones de los conmutadores S1 al S4 , un furgón puede llegar a uno cualquiera de los 4 destinos: D0 D1 D2 o D3. Diseñe un circuito que reciba como entradas las señales de S1 a S4, de las posiciones de los conmutadores correspondientes y que encienda una lámpara D0 a D3, indicando el destino al que llegará cada furgón. Cuando se produzca una colisión, todas las lámparas de salida deben encenderse.

94) El sistema de disparo (apagado del reactor) de una central nuclear está controlado por cuatro señales: una de disparo manual del reactor (A), y otras tres de disparo automático (B, C, D). El sistema se activará siempre que se produzca disparo manual o cuando al menos dos de las señales de disparo automático se activen.

a) Obtenga la tabla de verdad y la función lógica.b) Simplifique la función obtenida utilizando el mapa de Karnaugh.c) Implemente la función simplificada con compuertas lógicas básicas, con compuertas universales NAND y con compuertas universales NOR.

Page 27: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

95) Un almacén tiene 4 puertas y en cada una de ellas hay un interruptor para conectar la iluminación. Construir un circuito digital utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, para gobernar la iluminación del almacén. Cada interruptor tiene un contacto normalmente abierto y otro normalmente cerrado.

96) Un circuito combinacional de control posee tres entradas E1, E2 y E3 y una salida S. El circuito responde con un “1” lógico a la salida cuando las entradas E1 y E3 sean “1” ó cuando las entradas E2 y E3 tomen el valor “0”. Se pide:

a) La tabla de verdad del circuito y su función lógica.b) Simplificación de la función lógica obtenida mediante el método de

Karnaugh.c) Implementación del circuito con puertas lógicas NAND de dos entradas.

97) Se desea controlar dos motores M1 y M2 por medio de los contactos de tres interruptores A, B y C, utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, de forma que se cumplan las siguientes condiciones:a) Si A está cerrado y por lo menos alguno entre B y C, se activa M1.b) Si C está abierto y los otros dos no, se activa M2.c) Si los tres interruptores están cerrados se activan M1 y M2.d) Para el resto de condiciones los motores estarán parados.

98) En un control de calidad de un proceso industrial, las piezas acabadas se verifican de cuatro en cuatro. El proceso está diseñado para que si al menos tres de las cuatro piezas están defectuosas se dispare una señal de alarma.

a) Obtenga la tabla de verdad de aceptación de una decisión.b) Simplifique la función lógica obtenida mediante el método de Karnaugh.c) Implemente el circuito con compuertas lógicas de dos entradas básicas y universales.

99) Se desea gobernar un motor desde 4 interruptores: A,B,C y D de forma que entre en funcionamiento si están cerrados 2 y sólo 2 de ellos. Construir el esquema lógico mediante lógica combinacional utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR y dispositivos MSI.

100) Diseñe un circuito que detecte el estado de un contador de tres variables (A, B y C). El circuito debe activarse cuando el número presente en la salida esté comprendido entre 2 y 6 ambos inclusive.

a) Obtenga la tabla de verdad del circuito así como la función lógica booleana.b) Simplifique la función lógica utilizando el mapa de Karnaugh.c) Implemente del circuito con compuertas lógicas NOR.

101) Diseñe un circuito que sea capaz de generar las funciones aritméticas – lógicas que se presentan en la siguiente tabla, sobre 2 números de 3 bits codificados en binario natural. Muestre el resultado en display cátodo común. (10 Ptos.)

Page 28: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

X2 X1 F0 0

0 1

1 01 1 0

102) Diseñar un circuito de apertura de un garaje de coches, existen 4 entradas, mirando la figura:

a = detector de coche en la entradab = llave de entradac = detector de coche que quiere salird = llave de apertura interior del garajeSe tienen 5 salidas en el circuito:M = Motor de la puerta. 0 = Cerrar; 1 = Abrir.R1 y V1 = Luces roja y verde a la entrada del garajeR2 y V2 = Luces roja y verde dentro del garaje.Se tiene que abrir si hay coche en la entrada y se acciona la llave de entrada. También se abrirá si hay alguien dentro y acciona la llave de abrir. La luz roja R1 se tiene que encender si hay alguien dentro que quiere salir. La luz V1 se tiene que encender si hay alguien fuera, y dentro no hay nadie. La luz roja R2 se tiene que encender si hay alguien fuera que quiere entrar, y la luz V2 se tiene que encender si hay alguien dentro y fuera no hay nadie que quiera entrar. Si hay dos coches, uno en la entrada y otro dentro, y los dos accionan la llave a la vez, las luces deben de indicar que tiene preferencia el de dentro, la puerta se abre. Diseñar el circuito con el mínimo de puertas lógicas. No diseñar los finales de carrera, sistemas de seguridad y el sistema automático de cierre de la puerta. Realizarlo con puertas lógicas universales y con compuertas NAND de 2 entradas.

103) Una pequeña empresa tiene 10 acciones distribuidas entre 4 accionistas, de la siguiente manera: A tiene 1 acción, B tiene 2 acciones, C tiene 3 acciones y D tiene 4 acciones. Cada una de estas acciones significa un voto para su propietario. En las juntas de accionistas cada uno de ellos tiene un interruptor que enciende cuando vota a favor y apaga cuando vota en contra. Utilizando lógica combinacional, diseñe un circuito utilizando: a) compuertas AND, NOT Y OR, b) Solo compuertas NAND y c) solo compuertas NOR, que indique con nivel alto que más de la mitad de los votos están a favor de una determinada moción.

Page 29: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

104) Diseña un convertidor de código. La entrada será un número de 4 bits (C3, C2, C1, C0) que representa los dígitos hexadecimales {0, 1,......9. A, b, C, d, E, F). Las salidas de este circuito controlarán los LEDs de un display o visualizador de 7 segmentos que muestra el carácter correspondiente (las letras b y d generalmente se escriben en minúsculas para distinguirlas de los dígitos 8 y 0 respectivamente). Nota: El display o dispositivo visualizador de 7 segmentos está formado por 7 LEDs o diodos luminosos. Sus cátodos se conectan a tierra por medio de los pines 3 y 8. Mientras que sus ánodos son las salidas del convertidor de código. Cuando el ánodo de un LED está también conectado a tierra, éste permanecerá apagado mientras que si lo conectamos a una tensión positiva se iluminará.

105) Se dispone de tres números de 3 bits codificados en binario natural. Diseñe un circuito que realice la suma de los dos mayores de los tres. Presente la salida en display, además de cada uno de los números.

106) Diseñe un circuito que calcule el valor absoluto de la diferencia de dos números de 4 bits codificados en binario puro. Presente la salida en display, además de los números de entrada.

107) Diseñe un circuito que tenga como entrada un dígito en BCD natural y que active su salida cuando el dígito sea válido. Para implantar el circuito dispone sólo de un inversor y de un multiplexor de 8 a 1.

108) Implemente un circuito digital Convertidor de código que permita obtener el número decimal sobre unos displays si en la entrada del bloque se dispone de un número binario puro de 5 bits.

109) Diseñe un circuito que tenga como entradas tres números sin signo A,B y C de 4 bits cada uno y una salida Z que indique cual de los números B o C es mas próximo al número A. Muestre los números y la salida en display. En caso de tener que montar el circuito, trabaje solo con números de 3 bits.

110) Implemente la función lógica f(a,b,c,d,e)=Σ1,2,4,6,8,11,13,14,15,18,19,20,22,24,25,27,28,30,31 , utilizando multiplexores 74151.

111) Diseñe un circuito capaz de hallar el valor absoluto de la parte entera de la media de dos números enteros con signo, de 3 bits, codificados en C-2. Muestre los números en display y el resultado de la media, además indique mediante un led cuando el resultado de la media es inexacto. Utilice para su diseño multiplexores o decodificadores.

112) Determine la función lógica simplificada que realiza el circuito de la figura. Tenga en cuenta que las señales de mayor peso son las que tienen la numeración más alta. Todas las entradas y salidas son activas por nivel alto.

Page 30: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

113) Diseñe una ALU que realice las siguientes funciones sobre dos números A y B de tres bits codificados en binario natural. Muestre en display los números y los resultados de las operaciones aritméticas. Los resultados de las operaciones lógicas represéntelas mediante leds.

S0 S1 Función0 0 C-2 de B0 1 C-1 de A1 0 2 * A1 1 A – B

114) Diseñe un circuito que realice la suma aritmética del mayor y el menor de tres números de 3 bits representados en complemento a 2. Muestre los números y el resultado en display.

115) Determine la función lógica simplificada que realiza el circuito de la figura. Tenga en cuenta que las señales de mayor peso son las que tienen la numeración más alta. Todas las entradas y salidas son activas por nivel alto.

116) Determine la función lógica simplificada que realiza el circuito de la figura. Tenga en cuenta que las señales de mayor peso son las que tienen la numeración más alta. Todas las entradas y salidas son activas por nivel alto.

Page 31: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

117) En algunos juegos con baraja española clásica de 40 cartas, como el tute, la puntuación asociada a cada carta es la siguiente: 1 (As) 11

3 10

10 (Sota) 2

11 (Caballo) 3

12 (Rey) 4

2, 4, 5, 6, 7 0

Se pide:

a) Suponiendo que las cartas están codificadas en binario natural, realizar un circuito que, dada una carta, obtenga su puntuación Realizar el circuito con un decodificador MM74HC154 y puertas lógicas

b) Suponiendo ahora que las cartas están codificadas en BCD natural, realizar un circuito que determine si un valor dado de dos dígitos BCD corresponde a una carta o no 1) Obtener las expresiones lógicas simplificadas de dicho circuito 2) Realizar el circuito sólo con multiplexores de 4 entradas de datos y 2 entradas de selección

118) Implementar un circuito digital, con dos salidas, que señale por una de ellas cuando un dato de entrada binario de cuatro bits sea divisible por cuatro y en la otra, los números divisibles por tres. Diseñar el circuito con decodificadores 74138 y 74139.

PROBLEMAS SECUENCIALES

119) Diseñe un circuito secuencial síncrono que proporcione a su salida un nivel alto cada vez que en su línea de entrada se presente la secuencia 010

120) Diseñe un detector de trama mediante una máquina secuencial con una entrada X y una salida Z. Dicha salida muestra un 1 lógico sólo si los últimos 3 bits detectados son tres unos (111) o si son los tres ceros (000). Obtener:a) El diagrama de estados del sistema (grafo de estados)b) ¿Cuántos biestables son necesarios? ¿Por qué?c) La tabla de transiciones

Page 32: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

d) Realizar el circuito a diseñar con biestables tipo JKe) Conectar adecuadamente el sistema diseñado a un decodificador de 7 segmentos a un display de 7 segmentos y punto decimal, con el fin de poder visualizar la salida numéricamenteComo ejemplo de funcionamiento se muestra el siguiente cronograma:T= 1 2 3 4 5 6 7 8 9 10 11 12 13 14X= 1 0 0 0 0 1 0 1 1 0 1 1 1 0Z= 0 0 0 1 1 0 0 0 0 0 0 0 1 0

121) Diseñe un circuito contador síncrono BCD modulo diez

122) Diseñe un contador cíclico ascendente de los números primos comprendidos entre 0 y 15 (incluido el 0) empleando para tal fin biestables tipo D. Se pide:a) El diagrama de estados del sistema (grafo de estados)b) La tabla de transicionesc) Realizar el circuito con biestables tipo Dd) Implementar un circuito adicional lo más simplificado posible que, colocado a la salida del contador, sea un 1 cuando la salida esté comprendida entre 5 y 11, ambos inclusive.

123) Dibujar la forma de onda de salida del siguiente Biestable JK sincrono por nivel

124) Diseñe un contador de 8 estados que cuente del 0 al 7 de forma ascendente. Los pulsos de reloj con flanco de bajada producen los cambios de estado. Elija para el diseño un FF de acuerdo con las características del diseño. Muestre en display.

125) Dibuja la forma de onda de salida del siguiente biestable JK Síncrono por flanco de subida

126) Diseñe un contador de 8 estados que cuente del 0 al 7 de forma descendente. Los pulsos de reloj con flanco de subida producen los cambios de estado. Elija para el diseño un FF de acuerdo con las características del diseño. Muestre en display.

127) Diseñe un contador que cuente del 0 al 7 cuando X = 0 y del 7 al 0 cuando X = 1. Utilice FF D. Muestre en display.

Page 33: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

128) Dibuja la forma de onda de salida del siguiente biestable JK síncrono por flanco de bajada (el reloj está negado, por eso es por flanco de bajada)

129) Diseñe un contador que cuente los números pares del 2 al 10 ambos inclusive. Utilice FF T. Muestre en display.

130) Dibuja la forma de onda de salida del siguiente biestable T síncrono por flanco de subida

131) Diseñe un contador que cuente los números impares del 1 al 9 ambos inclusive. Utilice FF JK. Muestre en display.

132) Dibuja la forma de salida del siguiente biestable D síncrono por nivel

133) Diseñe un contador que cuente ascendentemente para m=0 y descendentemente para m=1 desde 0 a 3 o de 3 a 0 respectivamente. Use FF T. Muestre en display.

134) Dibuja la forma de salida del siguiente biestable D síncrono por flanco de subida

135) Diseñe un contador que cuente 1, 3, 5 y 7 para m=0 y 0, 2, 4 y 6 para m =1. Use FF JK. Muestre en display.

136) Diseña un contador Down con JK módulo 8 (de 15 a 8)

137) Diseñe un contador síncrono de 16 estados utilizando FF D, que presente en display la secuencia 0,1,2,3,4,5,6,7,8,15,14,13,12,11,10,9 y se repita.

Page 34: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

138) Diseñar un contador binario síncrono reversible de módulo 5 como autómata de Moore. El orden de la cuenta se controlará a través de una entrada M tal que:

Si M=1, el contador cuenta en orden creciente. Si M=0, el contador cuenta en orden decreciente. Implementarlo con flip-flops J-K y las puertas lógicas necesarias. ¿cómo sería el diagrama de flujo del contador diseñado como autómata de Mealy?

139) Diseñe un contador síncrono modulo 13. Muestre la salida en display.

140) Diseñe un contador que tenga la siguiente secuencia: 13, 10, 14, 5, 8 , 11, 3 y 6. Utilice solo 3 flip-flops, para lo cual deberá emplear el método de decodificación de estados.

141) Realice un circuito secuencial síncrono que tenga dos modos de operación: M=0 el circuito contara 0, 1, 3, 2, 5, 4, 7, 6, 0, 1... M=1 el circuito contara 0, 1, 2, 3, 4, 5, 6, 7, 0, 1... Utilizando Flip-Flop tipo D.

142) Diseñe un contador que tenga la siguiente secuencia:1, 7, 4, 11, 6, 14 y 3.

143) Diseñe un contador que tenga la siguiente secuencia: 13, 11, 15, 7, 9, 10 y 2 .

PARCIALES 2013 - I

1. Supongamos que hay un nodo de tuberías, 4 de entrada y 4 de salidas. La tubería A aporta una media 5 litros por minuto, la B 15 litros/minuto, la C 25 litros/minuto y la D 30 litros/minuto. Cuatro sensores, uno por tubería de entrada, nos indican por qué tubería está circulando el agua. Las tuberías de salida son SA, SB, SC y SD y pueden recoger 5, 10, 20 y 40 litros por minuto respectivamente. Cada tubería de salida está regulada por una válvula que únicamente tiene dos estados: cerrada (un cero lógico) o abierta (un uno lógico). Teniendo en cuenta que sólo puede circular agua en dos tuberías de entrada simultáneamente, activar las válvulas de las tuberías de salida necesarias para que salga tanto caudal de agua como entra. (8 Ptos.)

i. Representar la tabla de verdadii. Representar cada salida SA en formato POS, SB en formato SOP, SC en

formato de minterm y SD en formato maxterm.iii. Obtener las funciones lógica simplificadas para las cuatro válvulas SA y SD

por algebra de Boole y SB y SC por mapas de Karnaughiv. Implementar con compuertas lógicas básicas el circuito de control de las

válvulas de las tuberías SA y SB, y los circuitos SC y SD solo con compuertas NAND.

2. Una báscula utiliza dos sensores S1 y S2 para medir el peso de una pieza. Estos sensores están pesando la misma pieza, aunque su medida puede diferir. Las dos medidas se entregan a un sistema digital combinatorio, una de 3 bits A2A1A0 para el sensor 1 y una de 2 bits B1B0 para el sensor 2, ambas en formato binario puro. Para visualizar la medida se dispone de dos “conjuntos de salidas a LEDs”. En uno de ellos se visualizará la diferencia entre los sensores (S1-S2) en formato

Page 35: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

complemento a dos y en el otro la menor de las medidas entre S1 y S2 (10 Ptos.)

i. Definir las variables de salida.ii. Realizar la tabla de la verdad correspondiente considerando cada salida.

iii. Minimizar eficientemente cada salida.iv. Implementar los circuitos de la salida “Diferencia”.

.- En un gimnasio de 1000 m², en el cual se practican artes marciales, existen 4 zonas de competencia. La zona W es de Karate – Do, la zona X es la de Ju – Jitsu, la zona Y es la de Muay – Thai y la zona Z es la de Kempo. El sistema de luminaria está dividido en focos de baja potencia (100 W) (B), focos de mediana potencia (250 W) (M) y focos de alta potencia (500W) (A) y, su distribución viene dada por la siguiente figura.

El patrón de iluminación debe establecerse, según la cantidad de personas presentes en el gimnasio y según normativas de economía energética establecida por los organismos gubernamentales, de acuerdo con la siguiente tabla:

Zona W Zona X

Zona Y Zona Z

B M A B M AM A B M A BA B M A B MB M A B M AM A B M A BA B M A B M

Page 36: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

Se pide: a.- Definir variables de entrada y de salidab.- Realice diseño utilizando diagrama en bloquesc.- Diseñe exclusivamente con dispositivos MSI un circuito de control mapeo bit a bit, que permita cumplir con el criterio de encendido establecido por usted, en las diferentes zonas del gimnasio

NOTA: No puede utilizar para su diseño: compuertas universales, compuertas negadoras, compuertas exnor o exor

2.- Una empresa dedicada a pintar vehículos tiene un departamento que determina la calidad del producto ya terminado, en base a lo cual realiza cálculos requeridos por la gerencia general. La clasificación que da el departamento es (Producto Terminado Excelente “PTE”, Producto Terminado Aceptable “PTA” y Producto Terminado Reprobado “PTR”). Cada PTE producido representa para la empresa una ganancia de 25% sobre el costo de producción, cada PTA proporciona una ganancia de 18% y cada PTR proporciona una pérdida en la producción de 13%. Diariamente se pintan 3 vehículos. Siendo Ud. Parte del Departamento mencionado, diseñe un circuito con MSI que permita al gerente general visualizar en su oficina, mediante display 7 segmentos, la información que el departamento de calidad obtiene diariamente relacionada con el estado de pérdidas o ganancias.

3.- Una empresa cuenta con 4 departamentos (Gerencia Administrativa, Gerencia Técnica, Gerencia de la Producción y Almacén), cada uno con una extensión telefónica. Si la empresa cuenta apenas con 2 líneas telefónicas (A y B), se requiere diseñar un dispositivo con MSI que permita que cualquier llamada pueda ser transferida a cualquiera de los departamentos. En el caso de que coincidan las llamadas entrantes con el mismo departamento tiene prioridad la línea A. Considere que el formato de llamadas entrantes es de 4 bits, tal como se describe [D P A1 A0], donde:

D: Datos de comunicación digital (señal de voz)P: Petición de llamada (P = 0, no hay petición, P = 1, hay petición)A1 A0: Extensión o departamento (0: GA, 1: GT, 2: GP, 3: Al)

1.- En el sistema binario hay varias maneras de representar un número negativo. Una de ellas es el complemento a 9 de cada cifra decimal representada en binario natural. Diseñe utilizando mapeo bit a bit, un circuito complementador que calcule el complemento a 9 de un número de 2 cifras del 0 al 31 que a la entrada esté representado en binario natural. La salida debe ser presentada en decimal, en display 7 segmentos cátodo común. Para realizar la conversión de binario a BCD, básese en el siguiente diagrama de flujo:

Nº de personas

1 - 10

11 - 20

21 - 35

36 - 50

51 - 70

71 - 90

Más de 90

Page 37: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

2.- Diseñe un circuito que sea capaz de generar las funciones aritméticas – lógicas que se presentan en la siguiente tabla, sobre dos números de 3 bits codificados en binario natural. Muestre el resultado en display ánodo común.

X2 X1 F

0 0 |2∗A−B|

0 1 | A−2∗B|

1 0 | A−2∗B|

1 1 ||A−B|−C|3.- Dado un número A de 3 bits en C2 se quiere presentar la operación n*A en display 7 segmentos donde n es un binario puro de 2 bits, la representación de salida es en magnitud y signo. Plantee primero un esquema general en bloques y luego realice el mapeo bits a bits. Emplee especialmente sumadores y algún dispositivo MSI.

1. Analizar el siguiente circuito secuencial.

N

N >

N >

N > 9

N + 18

N +12

N +6

Presentar BCD

Si

Si

Si

No

No

Page 38: GUIA DISEÑOS LOGICOS - INTENSIVO 2013

2. Se tiene una señal principal de reloj de 60 Hz. Diseñe un contador descendente cuya secuencia esté dada por su número de cédula. El resultado debe ser mostrado en display 7 segmentos cátodo común con una velocidad que sea de aproximadamente 1 seg. Para su diseño, utilice el FF 7476, considerando las entradas Preset (PR) y Clear (CLR) según tabla anexa.

3. Realice de la manera más eficiente posible, un contador que para X = 0 muestre la siguiente secuencia 3, 13, 2, 5, 7, 11 y para X = 1 la secuencia sería 11, 7, 5, 2, 13, 3. Muestre el resultado en display 7 segmentos ánodo común y utilice para su diseño el FF 7474, considerando las entradas asíncronas.

Page 39: GUIA DISEÑOS LOGICOS - INTENSIVO 2013
Page 40: GUIA DISEÑOS LOGICOS - INTENSIVO 2013