プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE...

209
Vivado Design Suite プロパティ リファレンス ガイド UG912 (v2014.2) 2014 8 5 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先しま す。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用 の上、最新情報につきましては、必ず最新英語版をご参照ください。

Transcript of プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE...

Page 1: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

Vivado Design Suite プロパティ リファレンスガイ ド

UG912 (v2014.2) 2014 年 8 月 5 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

Vivado プロパティ  リファレンス japan.xilinx.com 2UG912 (v2014.2) 2014 年 8 月 5 日

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2014 年 8 月 5 日 2014.2 44 ページの 「ハード ウェア マネージャー オブジェク ト 」 および82 ページの 「hw_sysmon」を追加

2014 年 6 月 4 日 2014.2 136 ページの 「IP_REPO_PATHS」 および146 ページの 「KEEP_COMPATIBLE」 を追加 current_design を使用するために 「POST_CRC_ACTION」 の XDC 構文を変更 get_ports を使用するために 「PULLUP」 および 「PULLDOWN」 の XDC 構文と例を変更

2014 年 5 月 9 日 2014.1 第 1 章 「Vivado の第一級オブジェク ト 」 に26 ページの 「ブロ ッ ク デザイン オブジェク ト 」および44 ページの 「ハード ウェア マネージャー オブジェク ト 」 を追加 第 2 章 「主 な プ ロ パ テ ィ の 説 明」 に 「BLACK_BOX」 、 「CLOCK_BUFFER_TYPE」 、「CONTAIN_ROUTING」 、 「EXCLUDE_PLACEMENT」 、 「IO_BUFFER_TYPE」 、「MAX_FANOUT」、 「PATH_MODE」 および 「USE_DSP48」 プロパティを追加

Page 3: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1章 : Vivado の第一級オブジェク ト概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第一級オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

本書から例をコピーする場合 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

BEL (基本エレ メン ト ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

セル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

ネッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

ピン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

サイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

ブロ ッ ク デザイン オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26DIAGRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

BD_ADDR_SEG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

BD_ADDR_SPACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

BD_CELL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

BD_INTF_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

BD_INTF_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

BD_INTF_PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

BD_NET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

BD_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

BD_PORT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

ハードウェア マネージャー オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44HW_AXI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

HW_BITSTREAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

HW_CFGMEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

HW_DEVICE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

HW_ILA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

HW_ILA_DATA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

HW_PROBE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

HW_SERVER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

HW_SIO_GT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

HW_SIO_GTGROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

HW_SIO_IBERT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

HW_SIO_PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

HW_SIO_RX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

HW_SIO_TX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

hw_sysmon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

HW_TARGET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

HW_VIO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

Vivado プロパティ  リファレンス japan.xilinx.com 3UG912 (v2014.2) 2014 年 8 月 5 日

Page 4: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2章 : 主なプロパティの説明プロパティ情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89ASYNC_REG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

BEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

BLACK_BOX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

CFGBVS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

CLOCK_BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

CLOCK_DEDICATED_ROUTE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

CLOCK_ROOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

CONFIG_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

CONFIG_VOLTAGE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

CONTAIN_ROUTING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

DCI_CASCADE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

DIFF_TERM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

DIFF_TERM_ADV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

DONT_TOUCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

DRIVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

EQUALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

EXCLUDE_PLACEMENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

FSM_ENCODING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

FSM_SAFE_STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

H_SET および HU_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122HIODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

HLUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

IBUF_LOW_PWR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

IN_TERM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

INTERNAL_VREF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

IP_REPO_PATHS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

IO_BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

IOB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

IOBDELAY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140

IODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142

IOSTANDARD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

KEEP_COMPATIBLE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

KEEP_HIERARCHY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

KEEPER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

LOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

LOCK_PINS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154

LUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

LVDS_PRE_EMPHASIS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160

MARK_DEBUG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161

MAX_FANOUT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162

ODT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

OFFSET_CNTRL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165

PACKAGE_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

PATH_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

PBLOCK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170

POST_CRC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172

POST_CRC_ACTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

Vivado プロパティ  リファレンス japan.xilinx.com 4UG912 (v2014.2) 2014 年 8 月 5 日

Page 5: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

POST_CRC_FREQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

POST_CRC_INIT_FLAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176

POST_CRC_SOURCE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177

PRE_EMPHASIS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178

PROHIBIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179

PULLDOWN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180

PULLUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182

REF_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

REF_PIN_NAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184

RLOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185

RLOCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188

RLOC_ORIGIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190

ROUTE_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192

RPM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194

RPM_GRID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195

SLEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197

U_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200

USE_DSP48 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203

USED_IN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204

VCCAUX_IO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206

付録 A : その他のリソースザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

Vivado プロパティ  リファレンス japan.xilinx.com 5UG912 (v2014.2) 2014 年 8 月 5 日

Page 6: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1章

Vivado の第一級オブジェク ト

概要本書では、 第一級オブジェク ト、 ザイ リ ンクス Vivado® Design Suite で使用可能なオブジェク トのプロパティについて説明します。 含まれる内容は次のとおりです。

• 第 1 章「Vivado の第一級オブジェク ト 」 : FPGA デザイン データベースをモデル化するため Vivado Design Suite で使用されるさまざまなデザインおよびデバイスのオブジェク トについて説明します。 オブジェク トの定義、関連オブジェク トの リ ス ト 、 オブジェク トに関連付けられているプロパティの リ ス トがこれに含まれます。

• 第 2 章 「主なプロパティの説明」 : Vivado Design Suite プロパティに対し、 プロパティの説明、 サポート されているアーキテクチャ、 適用可能エレ メン ト 、 値、 構文例 (Verilog、 VHDL、 XDC)、 影響のあるデザイン フロー ステップ、 といった項目に分けて説明があ り ます。

• 付録 A 「その他のリ ソース」 : http://japan.xilinx.com/support のザイ リ ンクス サポート ウェブサイ トから入手可能な リ ソースおよび資料がリ ス ト されています。

Vivado プロパティ  リファレンス japan.xilinx.com 6UG912 (v2014.2) 2014 年 8 月 5 日

Page 7: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

第一級オブジェク トVivado Design Suite では、イン メモ リ デザイン データベースで数多くの第一級オブジェク トがサポート されています。これらのオブジェク トは、デザインまたは論理ネッ ト リ ス ト 、およびターゲッ トのザイ リ ンクス FPGA またはデバイスを表します。 ネッ ト リ ス ト オブジェク ト とデバイス オブジェク ト との関係は、 デザインをデバイスにマップするのに使用されます。 図 1-1 は一部の Vivado 第一級オブジェク トの関連性を示したものです。

図 1-1 に表示されているオブジェク トは、 ネッ ト リ ス ト オブジェク ト またはデバイス オブジェク ト と して定義されています。 上の図でピンク色で表示されているネッ ト リ ス ト オブジェク トは、 FPGA にプログラムするロジッ ク デザインの一部で、 ロジッ ク セル、 ピン、 ポート、 ネッ トが含まれます。 青色で表示されているデバイス オブジェクトは、 実際の物理的な FPGA デバイスの一部で、 ク ロ ッ ク領域、 タイル、 サイ ト、 BEL (基本エレ メン ト ) などの リソースが含まれます。 また、図 1-1 のデバイス オブジェク トには、緑色で表示されるパッケージ ピンおよび I/O バンク、 紫色で表示されている ノード、 ワイヤ、 PIP などの配線リ ソースも含まれています。

オブジェク ト間の関連性は、 オブジェク ト同士を結ぶ矢印で示されています。矢印が両方のオブジェク ト を指している場合は、 クエリーをどちらの方向からも指定できる関係であるこ とを示しています。 たとえば、 特定ネッ トに接続

X-Ref Target - Figure 1-1

図 1‐1 : Vivado の第一級オブジェク ト

Vivado プロパティ  リファレンス japan.xilinx.com 7UG912 (v2014.2) 2014 年 8 月 5 日

Page 8: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

されているセル (get_cells -of_objects [get_nets]) をクエ リー処理した り、 特定セルに接続されているネッ ト (get_nets-of_objects [get_cells]) をクエ リー処理するこ とができます。

矢印が一方のみを指している場合は、 その矢印の方向にのみクエ リーを指定できる関係であるこ とを示しています。たとえば、 7 ページの図 1-1 の例を使用して説明する と、 特定クロ ッ ク領域にある基本エレ メン トは取得できますが(get_bels -of_objects [get_clock_regions])、特定の基本エレ メン トに関連付けられたクロ ッ ク領域を取得するこ とはできません。 また、 特定基本エレ メン ト をタイルに関連付けるこ とはできますが (get_tiles -of_objects [get_bels])、 タ イルを基本エレ メン トに関連付けるこ とはできません。

この図は説明用のものであ り、Vivado 第一級オブジェク トすべてを網羅したものではなく、 またその関連性をすべて説明しているわけではあ り ません。

第一級オブジェク トの説明、 ほかのオブジェク ト との関係、 オブジェク トで定義されているプロパティについては、この章の後で説明します。

Vivado Design Suite には、 タイ ミ ング オブジェク ト など、 オブジェク トに関するカテゴ リがほかにもあ り ます。 タイミ ング オブジェク トは暫定的なタイ ミ ング レポート を作成するためネッ ト リ ス ト デザインにま とめられています。ネッ ト リ ス トおよびデバイス オブジェク トに関連付けられているタイ ミ ング オブジェク ト を利用するこ とで、 インプ リ メ ン ト されたデザインのタイ ミ ング解析を完全に行う こ とができます。 タイ ミ ング オブジェク トには、 ク ロ ック、 タイ ミ ング パス、 遅延オブジェク トがあ り ます。

本書から例をコピーする場合

注意 : 本書からコードに構文またはコード例をコピーする前に、 このセクシ ョ ンを注意してお読みください。

本書には、 構文およびコード例が多く含まれ、 コードにプロパテ ィ を挿入できるよ うになっています。 これらのコピーを PDF から直接コードにコピーする場合、 次のよ うな問題があ り ます。

• PDF から Vivado Tcl コンソール、 Tcl スク リプ ト 、 XDC ファ イルへコード例をコピーして貼り付ける場合、 ダッシュ (-) がエヌダッシュまたはエムダッシュに置き換えられる可能性があ り ます。

• PDF 資料の改行マークが例に挿入されてしまい、 Tcl スク リプ トや XDC ファ イルでエラーを引き起こします。

• 次のページにまたがるよ うな例をコピーする と、PDF のヘッダーおよびフッター情報も一緒にコピーされてしまい、 Tcl スク リプ トや XDC ファ イルでエラーを引き起こします。

これらの問題を回避するには、 ASCII テキス ト エディ ターでコード例にある不必要なマーカーや情報を削除してから、 コード、 Vivado Design Suite の Tcl シェル、 または Tcl コンソールに貼り付けるよ うにしてください。

Vivado プロパティ  リファレンス japan.xilinx.com 8UG912 (v2014.2) 2014 年 8 月 5 日

Page 9: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

BEL (基本エレメン ト )

説明

BEL または基本エレ メン トは、 デザインのネッ ト リ ス ト ビューのリーフ セルに該当するもので、 ターゲッ ト ザイ リンクス FPGA のデバイス オブジェク トで、 フ リ ップフロ ップ、 LUT、 キャ リー ロジッ クなどの基本ネッ ト リ ス ト オブジェク ト をデバイスに配置またはマップするためのものです。

BEL はデバイス上でスライスや I/O ブロッ ク (IOB) などの 「サイ ト 」 オブジェク トにま とめられています。 1 つのサイ トには BEL が 1 つまたは複数存在します。 この BEL を使用して、デザイン ネッ ト リ ス ト をターゲッ ト デバイスの特定のロケーシ ョ ンやデバイス リ ソースにロジッ クを割り当てます。

ザイ リ ンクス FPGA ごとにさまざまな異なる BEL タイプがあ り ます。 次は、 Kintex-7 パーツ、 XC7K325TFFG900 のBEL のタイプです。 BEL には、 次のよ うにさまざまなタイプがあ り ます。

BSCAN_BSCAN BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT DSP48E1_DSP48E1 EFUSE_USR_EFUSE_USR FF_INIT FIFO18E1_FIFO18E1 FRAME_ECC_FRAME_ECC GTXE2_CHANNEL_GTXE2_CHANNEL GTXE2_COMMON_GTXE2_COMMON HARD0 HARD1 IBUFDS_GTE2_IBUFDS_GTE2 ICAP_ICAP IDELAYCTRL_IDELAYCTRL IDELAYE2_FINEDELAY_IDELAYE2_FINEDELAY IDELAYE2_IDELAYE2 ILOGICE2_IFF ILOGICE3_IFF ILOGICE3_ZHOLD_DELAY INVERTER IN_FIFO_IN_FIFO IOB18M_INBUF_DCIEN IOB18M_OUTBUF_DCIEN IOB18M_TERM_OVERRIDE IOB18S_INBUF_DCIEN IOB18S_OUTBUF_DCIEN IOB18S_TERM_OVERRIDE IOB18_INBUF_DCIEN IOB18_OUTBUF_DCIEN IOB18_TERM_OVERRIDE IOB33M_INBUF_EN IOB33M_OUTBUF IOB33M_TERM_OVERRIDE IOB33S_INBUF_EN IOB33S_OUTBUF IOB33S_TERM_OVERRIDE IOB33_INBUF_EN IOB33_OUTBUF IOB33_TERM_OVERRIDE LUT5 LUT6 LUT_OR_MEM5 LUT_OR_MEM6 MMCME2_ADV_MMCME2_ADV ODELAYE2_ODELAYE2 OLOGICE2_MISR OLOGICE2_OUTFF OLOGICE2_TFF OLOGICE3_MISR OLOGICE3_OUTFF OLOGICE3_TFF OUT_FIFO_OUT_FIFO PAD PCIE_2_1_PCIE_2_1 PHASER_IN_PHY_PHASER_IN_PHY PHASER_OUT_PHY_PHASER_OUT_PHY PHASER_REF_PHASER_REF PHY_CONTROL_PHY_CONTROL PLLE2_ADV_PLLE2_ADV PMV2_PMV2 PULL_OR_KEEP1 RAMB18E1_RAMB18E1 RAMBFIFO36E1_RAMBFIFO36E1

Vivado プロパティ  リファレンス japan.xilinx.com 9UG912 (v2014.2) 2014 年 8 月 5 日

Page 10: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

REG_INIT SELMUX2_1 SLICEL_CARRY4_AMUX SLICEL_CARRY4_AXOR SLICEL_CARRY4_BMUX SLICEL_CARRY4_BXOR SLICEL_CARRY4_CMUX SLICEL_CARRY4_CXOR SLICEL_CARRY4_DMUX SLICEL_CARRY4_DXOR SLICEM_CARRY4_AMUX SLICEM_CARRY4_AXOR SLICEM_CARRY4_BMUX SLICEM_CARRY4_BXOR SLICEM_CARRY4_CMUX SLICEM_CARRY4_CXOR SLICEM_CARRY4_DMUX SLICEM_CARRY4_DXOR STARTUP_STARTUP USR_ACCESS_USR_ACCESS XADC_XADC

関連オブジェク ト   

図 1-2 にあるよ うに、ネッ ト リ ス ト デザインのリーフ セルはターゲッ ト パーツの BEL にマップするこ とができます。BEL はターゲッ ト ザイ リ ンクス FPGA のサイ トにま とめられ、 BEL とサイ トの両方がクロ ッ ク領域と タイルにまとめられます。 各 BEL にはセルのピンにマップする BEL ピンがあ り、 また BEL がネッ ト リ ス ト オブジェク トであるネッ トへの接続点になり ます。

X-Ref Target - Figure 1-2

図 1‐2 : BEL オブジェク ト

Vivado プロパティ  リファレンス japan.xilinx.com 10UG912 (v2014.2) 2014 年 8 月 5 日

Page 11: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

サイ ト、 セル、 ク ロ ッ ク領域、 ネッ ト オブジェク トの BEL は取得できます。 次は、 その例です。

get_bels -of [get_clock_regions X1Y3]

セル、 サイ ト、 タイル、 BEL オブジェク トのBEL ピンも次のよ うに取得できます。

get_cells -of [get_bels SLICE_X104Y100/B6LUT]

プロパティ

BEL オブジェク トに割り当てられるプロパティはタイプによって異なり ます。 次は、 BEL の BUFIO タイプに割り当てられたプロパティ とその値の例を示しています。

BUFIO_X0Y25/BUFIO のプロパティProperty Type Read-only Visible ValueCLASS string true true belCONFIG.DELAY_BYPASS.VALUES string true true FALSE, TRUEIS_RESERVED bool true true 0IS_TEST bool true true 0IS_USED bool true true 0NAME string true true BUFIO_X0Y25/BUFIONUM_BIDIR int true true 0NUM_CONFIGS int true true 1NUM_INPUTS int true true 1NUM_OUTPUTS int true true 1NUM_PINS int true true 2PROHIBIT bool false true 0TYPE string true true BUFIO_BUFIO

BEL オブジェク トに割り当てられるプロパティは TYPE によって異なり ます。 上記にリ ス ト されている BEL の各タイプのプロパティを確認するには、 report_property コマンドを使用します。

report_property -all [lindex [get_bels -filter {TYPE == <BEL_TYPE>}] 0]

<BEL_TYPE> にはリ ス ト されている BEL タイプの 1 つが入り ます。 次は、 その例です。

report_property -all [lindex [get_bels -filter {TYPE == SLICEM_CARRY4_AXOR}] 0]report_property -all [lindex [get_bels -filter {TYPE == LUT5}] 0]report_property -all [lindex [get_bels -filter {TYPE == IOB33S_OUTBUF}] 0]

ヒン ト : report_property コマンドは、 現在のデザインで関連オブジェ ク トが見つからなかった場合、 オブジェク トが見つからないとい う内容の警告メ ッセージを返すこ とがあ り ます。 このコマンドの詳細は、 『Vivado Design Suite Tclコマンド リ ファレンス ガイ ド』 (UG835)[参照 9] を参照してください。

Vivado プロパティ  リファレンス japan.xilinx.com 11UG912 (v2014.2) 2014 年 8 月 5 日

Page 12: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

セル

説明

セルは、 ネッ ト リ ス ト ロジッ ク オブジェク トのインスタンスで、 リーフ セルであったり、 階層セルであったり します。 リーフ セルはプリ ミ ティブまたはプリ ミ ティブ マクロで、 ネッ ト リ ス トにはロジッ クの詳細はあ り ません。 階層セルはモジュールまたはブロ ッ クで、 1 つ以上のレベルのロジッ クを含み、 終的にはリーフ セルも含みます。

関連オブジェク ト   

図 1-3 にあるよ うに、 セルには外部ネッ ト リ ス ト を定義するためネッ トに接続されているピンがあ り ます。 階層セルにはピンに関連付けられているポート も含まれ、階層の内部ネッ ト リ ス ト を定義するためネッ トに内部接続されています。

リーフ セルはターゲッ トのザイ リ ンクス FPGA のデバイス リ ソースに配置またはマップされます。 フ リ ップフロ ップ、LUT、MUX などの基本ロジッ クの場合、セルは BEL オブジェク トに配置され、BRAM や DSP などの大型ロジック セルの場合、 セルはサイ ト オブジェク トに配置されます。 BEL はさらに大きなサイ トであるスライスにもま とめられるので、 セルは BEL およびサイ ト オブジェク トに関連付けるこ とができるのです。 サイ トはクロ ッ ク領域およびタイルにま とめられます。

また、 セルはデザインのタイ ミ ング パスに関連付けられるので、 DRC 違反にも関連付けるこ とができ、 デザインに関する問題をすばやく見つけ出し解決するのに役立ちます。

X-Ref Target - Figure 1-3

図 1‐3 : セル オブジェク ト

Vivado プロパティ  リファレンス japan.xilinx.com 12UG912 (v2014.2) 2014 年 8 月 5 日

Page 13: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ  

リーフ セル オブジェク トには、PRIMITIVE_GROUP、PRIMITIVE_SUBGROUP、PRIMITIVE_TYPE というプロパティで定義されているタイプがあ り ます。 たとえば、 ザイ リ ンクス UltraScale™ アーキテクチャ デバイスの場合のセルのさまざまなグループ、 下位グループを次にリ ス ト します。

表 1‐1 : UltraScale デバイスの PRIMITIVE_GROUP および PRIMITIVE_SUBGROUP

PRIMITIVE_GROUP PRIMITIVE_SUBGROUP

ADVANCED MAC

GT

INTERLAKEN

PCIE

SYSMON

PROCESSOR

ARITHMETIC DSP

BLOCKRAM FIFO

BRAM

CLB CARRY

LUT

MUXF

SRL

LUTRAM

CLOCK BUFFER

MUX

PLL

CONFIGURATION BSCAN

DNA

EFUSE

ECC

ICAP

MASTER_JTAG

STARTUP

I/O IMPEDANCE

INPUT_BUFFER

WEAK_DRIVER

OUTPUT_BUFFER

BIDIR_BUFFER

Vivado プロパティ  リファレンス japan.xilinx.com 13UG912 (v2014.2) 2014 年 8 月 5 日

Page 14: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

すべてのセルに共通のプロパティ セッ トがあるほか、セルの各グループ、サブグループおよびタイプごとにも独自のプロパティがある場合もあ り ます。 PRIMITIVE_GROUP、 PRIMITIVE_SUBGROUP または PRIMITIVE_TYPE プロパティの値でフ ィルターする と、 特定タイプのセル オブジェク トのプロパティを確認できます。

次の Tcl コードでは、 デザイン中の階層が検索され、 デザインのすべてのセルの PRIMITIVE_TYPE プロパティの独自の発生が戻されます。

foreach x [get_cells -hierarchical *] { lappend primTypes [get_property PRIMITIVE_TYPE $x] }join [lsort -unique $primTypes] \n

次のコマン ド を使用する と、 戻された PRIMITIVE_TYPE プロパテ ィ ($primTypes) の リ ス ト か ら特定のPRIMITIVE_TYPE のすべてのプロパティをレポートできます。

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == <val>}] 0]

<val> は該当する PRIMITIVE_TYPE にな り ます。 たとえば、 BLOCKRAM.BRAM.RAM18E2 タ イプのセルのプロパティを戻すには、 次を使用します。

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == "BLOCKRAM.BRAM.RAMB18E2"}] 0]

ヒン ト : report_property コマンドは、 現在のデザインで関連オブジェ ク トが見つからなかった場合、 オブジェク トが見つからないとい う内容の警告メ ッセージを返すこ とがあ り ます。 このコマンドの詳細は、 『Vivado Design Suite Tclコマンド リ ファレンス ガイ ド』 (UG835)[参照 9] を参照してください。

次の Tcl コマンドを使用する と、 階層セルまたは非リーフ セルからプロパティを戻すこ と もできます。

report_property -all [lindex [get_cells -hier -filter {!IS_PRIMITIVE}] 0]

DELAY

SERDES

DCI_RESET

BITSLICE

REGISTER SDR

DDR

METASTABILITY

LATCH

Vivado プロパティ  リファレンス japan.xilinx.com 14UG912 (v2014.2) 2014 年 8 月 5 日

Page 15: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

ネッ ト  

説明

ネッ ト というのは、 インターコネク ト されたピン、 ポートおよびワイヤのセッ ト を指します。 どのワイヤにもネッ ト名があ り、その名前でワイヤを区別します。2 本以上のワイヤに同じネッ ト名が付いているこ とがあ り ます。同じネット名が付いているワイヤは、 1 つのネッ トに含まれているこ とを示し、 こ う したワイヤに接続されているピンまたはポートはすべて電気的に接続されています。

RTL ソース ファ イルを 1 つのネッ ト リ ス ト デザインにエラボレーシ ョ ンまたはコンパイルしている と き、 ネッ ト リス ト デザインにネッ ト オブジェク トが追加されるたびにデフォルト ネッ ト名がそのオブジェク トに割り当てられます。 手動でネッ トに名前を付けるこ と もできます。

ネッ トは 1 つの信号から成るスカラー ネッ トであった り、 複数の信号から成るスカラー ネッ トのグループであるバス ネッ トである場合があ り ます。バスを使用する と関連信号を便利にまとめるこ とができ、回路図を簡潔で理解しや

X-Ref Target - Figure 1-4

図 1‐4 : ネッ ト  オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 15UG912 (v2014.2) 2014 年 8 月 5 日

Page 16: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

すいものにするこ とができます。 また、主となる回路とブロ ッ ク シンボルとの接続も明確になり ます。バスは特に次の場合において便利です。

• 回路図のあるサイ ドから、 も う一方へと多数の信号を配線する場合

• 1 つのブロ ッ ク シンボルに複数の信号を接続する場合

• 1 つの I/O マーカーに接続するこ とで、 階層レベルをまたぐ複数の信号を接続する場合

関連オブジェク ト  

デザイン ネッ ト リ ス トで、ネッ ト をセルのピンまたはポートに接続できます。デザインがターゲッ トのザイ リ ンクスFPGA にマップされる と、 ネッ トは、 デバイスのワイヤ、 ノード、 PIP などの配線リ ソースにマップされ、 BEL ピンを介して BE:L へ、 サイ ト ピンを介してサイ トへと接続されます。

また、ポート を介してデザインに供給されるクロ ッ クにネッ トは関連付けられ、デザインのタイ ミ ング パスへと接続されます。

デザインに関する問題をすばやく見つけ出し解決できるよ うに、 DRC 違反にネッ ト を関連付けるこ と もできます。

プロパティ  

ネッ ト オブジェク トの特定のプロパティは、そのオブジェク トの示すネッ トのタイプによって異なり ます。次の表には、 Vivado Design Suite でネッ ト オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueAREA_GROUP string true true BEL string true true BLKNM string true true BUFFER_TYPE enum false true BUFG enum true true BUS_NAME string true true BUS_START int true true BUS_STOP int true true BUS_WIDTH int true true CLASS string true true netCLOCK_BUFFER_TYPE enum false true CLOCK_DEDICATED_ROUTE enum false true CLOCK_REGION_ASSIGNMENT string false true CLOCK_ROOT string* false true COLLAPSE bool true true COOL_CLK bool true true DATA_GATE bool true true DCI_VALUE int false true DIFF_TERM bool false true 0DONT_TOUCH bool false true DRIVE int true false DRIVER_COUNT int true true 1ESSENTIAL_CLASSIFICATION_VALUE int false true FILE_NAME string true true FIXED_ROUTE string false true FLAT_PIN_COUNT int true true 1FLOAT bool true true GATED_CLOCK bool false true HBLKNM string true true HIERARCHICALNAME string true false CLK_PHU_SET string true false

Vivado プロパティ  リファレンス japan.xilinx.com 16UG912 (v2014.2) 2014 年 8 月 5 日

Page 17: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

IBUF_DELAY_VALUE double true true IBUF_LOW_PWR bool false true 1IFD_DELAY_VALUE double true true IN_TERM enum true true IOB enum false true IOBDELAY enum false true IOSTANDARD string true false LVDSIO_BUFFER_TYPE enum false true IS_CONTAIN_ROUTING bool true true 0IS_REUSED bool true true 0IS_ROUTE_FIXED bool false true 0KEEP bool true true KEEPER bool true true LINE_NUMBER int true true LOC string true true MARK_DEBUG bool false true 0MAXDELAY double true true MAXSKEW double true true MAX_FANOUT string false true METHODOLOGY_DRC_VIOS string false true NAME string true true CLK_PNODELAY bool true true NOREDUCE bool true true OUT_TERM enum true true PARENT string true true CLK_PPARENT_CELL string true true PIN_COUNT int true true 1PULLDOWN bool true true PULLUP bool true true PWR_MODE enum true true RAM_STYLE enum false true REUSE_STATUS enum true true RLOC string true true RLOC_ORIGIN string true false RLOC_RANGE string true false ROM_STYLE enum false true ROUTE string false true ROUTE_STATUS enum true true INTRASITERPM_GRID enum true true RTL_KEEP string true false RTL_MAX_FANOUT string true false S bool true true SCHMITT_TRIGGER bool true true SLEW string true true SUSPEND string true true TYPE enum true true SIGNALUSELOWSKEWLINES bool true true USE_DSP48 enum false true U_SET string true false WEIGHT int false true WIREAND bool true true XBLKNM string true true XLNX_LINE_COL int false false XLNX_LINE_FILE long false false

ネッ ト オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_nets] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 17UG912 (v2014.2) 2014 年 8 月 5 日

Page 18: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

ピン  

説明

ピンはプリ ミ ティブまたは階層セルの論理的な接続点です。 セルの内容はピンを介して抽出でき、 ロジッ クは簡単に使用できるよ うに簡易化されます。 また、 ピンは接続を 1 つ含むスカラーと して、 または複数の信号をまとめるバスピンと して定義するこ とができます。

関連オブジェク ト

ピンはセルに接続されます。 またネッ ト によ りほかのセルのピンに接続する こ とができます。 また、 セルのピンはBEL オブジェク トの BEL ピンに関連付けられ、 セルがマップされているサイ トのサイ ト ピンにも関連付けられています。 ク ロ ッ ク ド メ インの一部と してピンはクロ ッ クに関連付けられており、パスの起点、終点、中間点と して定義されている場合はタイ ミ ング パスの一部になり ます。

また、デザインに関する問題をすばやく見つけ出し解決できるよ うに、DRC 違反にピンを関連付けるこ と もできます。

X-Ref Target - Figure 1-5

図 1‐5 : ピン  オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 18UG912 (v2014.2) 2014 年 8 月 5 日

Page 19: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ

ピンのプロパティには次のものがあ り ます。

Property Type Read-only Visible ValueBEL string false true BUS_DIRECTION enum true true BUS_NAME string true true BUS_START int true true BUS_STOP int true true BUS_WIDTH int true true CLASS string true true pinCLOCK_DEDICATED_ROUTE enum false true DCI_VALUE int false true DIRECTION enum true true INESSENTIAL_CLASSIFICATION_VALUE int false true FB_ACTIVE bool false true HD.ASSIGNED_PPLOCS string* true true HD.CLK_SRC string false true HD.LOC_FIXED bool false false 0HD.PARTPIN_LOCS string* false true HD.PARTPIN_RANGE string* false true HIERARCHICALNAME string true false sinegen.DONT_EAT_reg.CHOLD_DETOUR int false true HOLD_SLACK double true true needs timing update***IS_CLEAR bool true true 0IS_CLOCK bool true true 1IS_CONNECTED bool true true 1IS_ENABLE bool true true 0IS_INVERTED bool false true 0IS_LEAF bool true true 1IS_PRESET bool true true 0IS_RESET bool true true 0IS_REUSED bool true true 0IS_SETRESET bool true true 0LOGIC_VALUE string true true needs timing update***NAME string true true DONT_EAT_reg/CPARENT_CELL cell true true DONT_EAT_regREF_NAME string true true FDREREF_PIN_NAME string true true CSETUP_SLACK double true true needs timing update***TARGET_SITE_PINS string* false true XLNX_LINE_COL int false false XLNX_LINE_FILE long false false

次のコマンドを使用してピンのプロパティは確認できます。

report_property -all [lindex [get_pins] 0 ]

Vivado プロパティ  リファレンス japan.xilinx.com 19UG912 (v2014.2) 2014 年 8 月 5 日

Page 20: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

ポート

説明 

ポートは特殊タイプの階層ピンで、 階層デザインの 上位で外部との接続点とな り、 また階層セルのピンに内部ロジッ クを接続するため、階層セルやブロ ッ ク モジュールの内部接続点となり ます。 また、ポートは接続を 1 つ含むスカラーと して、 または複数の信号をまとめるバス ポート と して定義するこ とができます。

X-Ref Target - Figure 1-6

図 1‐6 :ポート  オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 20UG912 (v2014.2) 2014 年 8 月 5 日

Page 21: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

関連オブジェク ト  

デザインの 上位にあるポートは、 IOSTANDARD が指定された状態で、デバイス パッケージのパッケージ ピンを介して、 デバイスの IOBANK を介して FPGA 外部へ接続します。

また、 ポートはシステムまたはボードからデザインにクロ ッ ク定義をマップするこ とができ、 set_input_delay またはset_output_delay 制約を使用してタイ ミ ング パスに割り当てる必要があ り ます。 制約の詳細は、 『Vivado Design Suiteユーザー ガイ ド : 制約の使用』 (UG903) を参照して ください。

階層セル内部では、 ポートはセルに割り当てられ、 セル内のネッ トに接続します。

プロパティ  

次は、 ポート オブジェク トで検出されたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueBOARD_PART_PIN string false true BOARD_PIN string false false BUFFER_TYPE enum false true BUS_DIRECTION enum true true BUS_NAME string true true BUS_START int true true BUS_STOP int true true BUS_WIDTH int true true CLASS string true true portCLOCK_BUFFER_TYPE enum false true DIFFTERMTYPE bool false false 0DIFF_PAIR_PORT port true true CLK_PDIFF_PAIR_TYPE enum true true NDIFF_TERM bool false true 0DIFF_TERM_ADV enum false true DIRECTION enum false true INDQS_BIAS enum false true DRIVE enum false true 0DRIVE_ADV enum false false DRIVE_STRENGTH enum false false 0EQUALIZATION enum false true ESSENTIAL_CLASSIFICATION_VALUE int false true HD.ASSIGNED_PPLOCS string* true true HD.CLK_SRC string false true HD.LOC_FIXED bool false false 0HD.PARTPIN_LOCS string* false true HD.PARTPIN_RANGE string* false true HOLD_SLACK double true true needs timing update***IBUF_LOW_PWR bool false true 1INTERFACE string false true INTERMTYPE enum false false NONEIN_TERM enum false true NONEIOB enum false true IOBANK int true true 33IOSTANDARD enum false true LVDSIOSTD enum false false LVDSIO_BUFFER_TYPE enum false true IS_BEL_FIXED bool false false 1IS_FIXED bool false false 1IS_GT_TERM bool true true 0IS_LOC_FIXED bool false true 1IS_REUSED bool true true

Vivado プロパティ  リファレンス japan.xilinx.com 21UG912 (v2014.2) 2014 年 8 月 5 日

Page 22: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

KEEPER bool false false 0LOAD double false true LOC site false true IOB_X1Y75LOGIC_VALUE string true true needs timing update***LVDS_PRE_EMPHASIS enum false true NAME string false true CLK_NODT enum false true OFFCHIP_TERM string false true NONEOFFSET_CNTRL enum false true OUTPUT_IMPEDANCE enum false true OUT_TERM enum false true PACKAGE_PIN package_pin false true AD11PIN_TYPE enum true false PIO_DIRECTION enum false true PRE_EMPHASIS enum false true PULLDOWN bool false false 0PULLTYPE string false true PULLUP bool false false 0SETUP_SLACK double true true needs timing update***SITE site false false IOB_X1Y75SLEW enum false true SLEWTYPE enum false false SLEW_ADV enum false false UNCONNECTED bool true true 0USE_INTERNAL_VREF enum false true VCCAUX_IO enum false true XLNX_LINE_COL int false false XLNX_LINE_FILE long false false 139264X_IFC_LOGICAL_NAME string true true CLKx_interface_info string false true

次のコマンドを使用してポートのプロパティは確認できます。

report_property -all [lindex [get_ports] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 22UG912 (v2014.2) 2014 年 8 月 5 日

Page 23: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

サイ ト

説明

サイ トは、 ターゲッ トのザイ リ ンクス FPGA で使用可能なさまざまなタイプのロジッ ク リ ソースの 1 つを表すデバイス オブジェク トです。

ルッ クアップテーブル (LUT)、 フ リ ップフロ ップ、 マルチプレクサ、 キャ リー ロジッ ク リ ソースなどの基本エレ メン ト (BEL) の集合体であるスライスがサイ トに含まれており、スライスからスライスを垂直方向に結ぶ専用キャ リーチェーンを使用して、 高速な加算、 減算、 比較演算をインプ リ メ ン トするために使用されます。 2 つのスライスが 7シ リーズ FPGA の 1 つのコンフ ィギャブル ロジッ ク ブロッ ク (CLB) にまとめられますが、 これはデバイスのタイルオブジェク トの 1 タイプです。

SLICEM は分散 RAM と してコンフ ィギュレーシ ョ ン可能です。分散メモ リは一部の LUT のコンフ ィギュレーシ ョ ン機能で、 小型の 64 ビッ ト メモ リ と して動作します。 SLICEL LUT はロジッ ク と してのみ機能し、 メモ リ と しては機能しません。

サイ トには、 ブロ ッ ク RAM、 I/O ブロ ッ ク、 ク ロ ッ ク リ ソース、 GT ブロ ッ クなどさまざまなオブジェク ト も含まれています。

Vivado 合成を使用して HDL ソースから推論した り、 FPGA ラ イブラ リ からプ リ ミ テ ィブやマク ロをインスタンシエート した り、 Vivado IP カタログから IP コアを使用して、 スラ イス リ ソースを利用するこ とができます。 『ラ イブラ リ ガイ ド』 には、 インスタンシエート可能なプリ ミ ティブのリ ス トがあ り ます。

使用可能な SITE タイプは、使用されるザイ リ ンクス FPGA によって変わり ます。使用可能な SITE タイプには、次が含まれます。

X-Ref Target - Figure 1-7

図 1‐7 :サイ ト  オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 23UG912 (v2014.2) 2014 年 8 月 5 日

Page 24: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

AMS_ADC AMS_DAC BSCAN BSCAN_JTAG_MONE2 BUFG BUFGCTRL BUFG_LB BUFHCE BUFIO BUFMRCE BUFR CAPTURE DCIRESET DNA_PORT DRP_AMS_ADC DRP_AMS_DAC DSP48E1 EFUSE_USR FIFO18E1 FIFO36E1 FRAME_ECC GLOBALSIG GTHE2_CHANNEL GTHE2_COMMON GTPE2_CHANNEL GTPE2_COMMON GTXE2_CHANNEL GTXE2_COMMON GTZE2_OCTAL IBUFDS_GTE2 ICAP IDELAYCTRL IDELAYE2 IDELAYE2_FINEDELAY ILOGICE2 ILOGICE3 IN_FIFO IOB IOB18 IOB18M IOB18S IOB33 IOB33M IOB33S IOBM IOBS IPAD ISERDESE2 KEY_CLEAR MMCME2_ADV ODELAYE2 ODELAYE2_FINEDELAY OLOGICE2 OLOGICE3 OPAD OSERDESE2 OUT_FIFO PCIE_2_1 PCIE_3_0 PHASER_IN PHASER_IN_ADV PHASER_IN_PHY PHASER_OUT PHASER_OUT_ADV PHASER_OUT_PHY PHASER_REF PHY_CONTROL PLLE2_ADV PMV2 RAMB18E1 RAMB36E1 RAMBFIFO36E1 SLICEL SLICEM STARTUP TIEOFF USR_ACCESS XADC

関連オブジェク ト

23 ページの図 1-7にあるよ うに、サイ トはさまざまなネッ ト リ ス トおよびデバイス オブジェク トに関連付けられています。 リーフ セルのフ リ ップフロ ップおよびラ ッチは、 スライスなどのサイ トにマップされている BEL にマップされているか、 BRAM や DSP などのサイ トに直接マップされています。 BEL およびサイ トはタイルにまとめられ、 デバイスのクロ ッ ク領域に割り当てられます。

ポート、 ピン、 I/O バンク、 パッケージ ピンは I/O ブロ ッ ク (IOB) に関連していますが、 この IOB もサイ トです。 さらに、 サイ トにはピンまたはサイ ト ピンがあ り、 これらはノード、 ピン、 ネッ トにマップされます。

Vivado プロパティ  リファレンス japan.xilinx.com 24UG912 (v2014.2) 2014 年 8 月 5 日

Page 25: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ

ザイ リ ンクス FPGA には 80 種類を越えるサイ トがあ り ますが、 すべて次のプロパティを共有しています。 値の例も示します。

Property Type Read-only Visible ValueALTERNATE_SITE_TYPES string true true IOB33S IOB33M CLASS string true true siteCLOCK_REGION string true true X0Y6IS_BONDED bool true true 1IS_CLOCK_BUFFER bool true true 0IS_CLOCK_PAD bool true true 0IS_GLOBAL_CLOCK_BUFFER bool true true 0IS_GLOBAL_CLOCK_PAD bool true true 0IS_PAD bool true true 1IS_REGIONAL_CLOCK_BUFFER bool true true 0IS_REGIONAL_CLOCK_PAD bool true true 0IS_RESERVED bool true true 0IS_TEST bool true true 0IS_USED bool true true 0MANUAL_ROUTING string false true NAME string true true IOB_X0Y349NUM_ARCS int true true 9NUM_BELS int true true 7NUM_INPUTS int true true 12NUM_OUTPUTS int true true 5NUM_PINS int true true 17PRIMITIVE_COUNT int true true 0PROHIBIT bool false true 0PROHIBIT_FROM_PERSIST bool true true 0RPM_X int true true 1RPM_Y int true true 698SITE_PIPS string false true SITE_TYPE enum true true IOB33

サイ ト オブジェク トに割り当てられているプロパティはどのサイ ト タイプでも同じです。24 ページの表 にリ ス ト されているサイ ト タイプのプロパティを確認するには、 report_property コマンドを使用します。

report_property -all [lindex [get_sites -filter {SITE_TYPE == <SITE_TYPE>}] 0]

<SITE_TYPE> にはリ ス ト されているサイ ト タイプの 1 つが入り ます。 次は、 その例です。

report_property -all [lindex [get_sites -filter {SITE_TYPE == DSP48E1}] 0]report_property -all [lindex [get_sites -filter {SITE_TYPE == RAMB36E1}] 0]report_property -all [lindex [get_sites -filter {SITE_TYPE == IBUFDS_GTE2}] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 25UG912 (v2014.2) 2014 年 8 月 5 日

Page 26: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

ブロック  デザイン  オブジェク トブロ ッ ク デザインは、 インターコネク ト された IP コアから成り立つ複雑なサブシステム デザインで、 スタンドアロン デザインと して使用できるほか、 その他のデザインに統合するこ と もできます。 ブロ ッ ク デザインまたはダイアグラムは、 Vivado Design Suite の IP インテグレーター機能を使用して作成できます。 これらは、 Vivado Design SuiteIDE の IP インテグレーターのキャンバス、 または Tcl コマンドを使用してインタラ クティブに作成できます。

ブロ ッ ク デザイン ダイアグラム オブジェク トは、前に説明したネッ ト リ ス ト オブジェク トに構造的にかなり類似しています。 図 1-8 は、 ブロ ッ ク デザインまたはダイアグラムを構成する異なるデザイン オブジェク ト間の関係を示しています。

次の図に示すよ うに、 ブロ ッ ク ダイアグラム オブジェク トには次が含まれます。

「DIAGRAM」

「BD_ADDR_SEG」

「BD_ADDR_SPACE」

「BD_CELL」

「BD_INTF_NET」

「BD_INTF_PIN」

X-Ref Target - Figure 1-8

図 1‐8 : ブロック  デザイン  オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 26UG912 (v2014.2) 2014 年 8 月 5 日

Page 28: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

DIAGRAM

説明

ブロ ッ ク デザイン (.bd) は、 Vivado Design Suite の IP インテグレーター機能で作成されたインターコネク ト された IPコアの複雑なシステムです。 Vivado IP インテグレーター機能を使用する と、 Vivado IP カタログからの IP をインスタンシエートおよびインターコネク ト して、複雑なデザインを作成できます。ブロ ッ ク デザインは、ディ スク上のファイル (.bd) に書き込むこ とのできる階層デザインですが、 Vivado ツール メモ リ内で diagram オブジェク ト と して格納されます。

ブロ ッ ク デザインは、 通常インターフェイス レベルで構築されて生産性が増すよ うになっていますが、 ポート またはピン レベルで編集するこ とでよ り詳細に制御するこ と もできます。 Vivado プロジェク トにはさまざまなデザイン階層レベルで複数のダイアグラムが含まれるこ とがあるほか、 上位デザインと して 1 つのダイアグラムだけを含むこ と もあ り ます。

関連オブジェク ト

26 ページの図 1-8 に示すよ うに、 diagram オブジェク トには bd_cells、 bd_nets、 および bd_ports など、 その他の IP インテグレーター ブロ ッ ク デザイン (bd) オブジェク トが含まれます。 これらのオブジェク ト間の関係は、セル、 ピン、ネッ ト などの標準的なネッ ト リ ス ト オブジェク ト間の関係と類似しています。 ユーザーは、 指定したダイアグラムオブジェク トからセル、 アドレス空間、 アドレス セグメン ト、 ネッ ト 、 ピン、 ポート、 インターフェイス ネッ ト 、 インターフェイス ピンおよびインターフェイス ポート などのブロ ッ ク デザインの各オブジェク ト を取得できます。

たとえば、 ブロ ッ ク デザインのネッ ト を取得するには、 次の Tcl コマンドを使用します。

get_bd_nets -of_objects [current_bd_design]

プロパティ

次の表には、 Vivado Design Suite でダイアグラム オブジェク トに割り当てられたプロパティをその値の例と共にリ スト しています。

Property Type Read-only Visible ValueCLASS string true true diagramCOLOR string false true FILE_NAME string true true design_1.bdNAME string true true design_1USE_IP_SHARED_DIR bool false true 1

ダイアグラム オブジェク トのプロパティは、 次のコマンドを使用して確認できます。

report_property -all [get_bd_designs]

または、 Vivado Design Suite に複数のブロ ッ ク デザインがある場合は、 次を使用します。

report_property -all [lindex [get_bd_designs] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 28UG912 (v2014.2) 2014 年 8 月 5 日

Page 29: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

BD_ADDR_SEG

説明

ブロ ッ ク デザイン アドレス セグメン ト (bd_addr_seg オブジェク ト ) は、 それの含まれるブロ ッ ク デザインのアドレス空間にエリ アのロケーシ ョ ンおよびサイズを示します。 アドレス セグメン トは、 指定したアドレス オフセッ トで開始され、 該当する範囲で続行されるアドレス空間の一部を示しています。

さまざまなメモ リ マップされたマスターおよびスレーブ インターフェイスの場合、 IP インテグレーターは業界標準の IP-XACT データ フォーマッ ト に従って、 エン ドポイン ト のマスターおよびスレーブのメモ リ要件および機能をキャプチャします。スレーブ インターフェイスには、スレーブをそれに関連するマスターのアドレス空間にマップするために、メモ リ マップという address_space コンテナーが含まれます。これらのメモ リ マップには、たとえば S_AXIのよ うに、 通常スレーブ インターフェイス ピンに従って名前がつけられます (必須ではあ り ません)。

各スレーブ インターフェイス ピンのメモ リ マップには、 アドレス セグメン ト (bd_addr_seg オブジェク ト ) が含まれます。アドレス セグメン トは、create_bd_addr_seg コマンドを使用してアドレス空間に割り当てるこ とができます。これらのアドレス セグメン トは、AXI スレーブのアドレス デコード ウ ィンド ウに該当します。たとえば、通常 AXI4-Liteスレーブにはアドレス範囲を示すアドレス セグメン トが 1 つだけ含まれますが、ブリ ッジのよ うに、スレーブの中には複数のアドレス セグメン トが含まれたり、 各アドレス デコード ウ ィンド ウのアドレス範囲が含まれるものがあ ります。

関連オブジェク ト   

ブロ ッ ク デザインのアドレス セグメン ト オブジェク トの bd_addr_seg は、 ブロ ッ ク デザインまたはダイアグラムで見つかった AXI マスター ブロ ッ ク デザイン セルのアドレス空間に関連付けられます。 アドレス空間は、セル上のインターフェイス ピン (bd_intf_pin) に、 外部 AXI マスターの場合はインターフェイス ポート (bd_intf_port) に関連付けられます。アドレス空間は、マップされたスレーブ インターフェイスのメモ リ空間である bd_addr_segs にセグメン ト分けされます。 これらの関連オブジェク トの bd_addr_space オブジェク トは取得できます。

get_bd_addr_segs -of_objects [get_bd_addr_spaces /mdm_1/S_AXI]

X-Ref Target - Figure 1-9

図 1‐9 : ブロック  デザインのアドレス空間およびアドレス セグメン ト

Vivado プロパティ  リファレンス japan.xilinx.com 29UG912 (v2014.2) 2014 年 8 月 5 日

Page 30: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

次を使用する と、 ブロ ッ ク デザインのアドレス セグメン トに関連するオブジェク ト を取得するこ と もできます。

get_bd_intf_pin -of [get_bd_addr_segs /microblaze*]

プロパティ

次に、 ブロ ッ ク デザインのアドレス セグメン ト オブジェク ト (bd_addr_seg) のプロパティ と値の例を示します。

Property Type Read-only Visible ValueACCESS string true true read-writeCLASS string true true bd_addr_segNAME string false true RegOFFSET string false true PATH string true true RANGE string false true 65536USAGE string true true register

bd_addr_seg オブジェ ク ト のプロパテ ィ をレポー トするには、 次のコマン ドをコピーして Vivado Design Suite の Tclシェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_addr_segs ] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 30UG912 (v2014.2) 2014 年 8 月 5 日

Page 31: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

BD_ADDR_SPACE 

説明

アドレス空間 (bd_addr_space オブジェク ト ) は、マスター インターフェイス、またはブロ ッ ク デザイン外部の AXI マスターへ接続された AXI インターフェイス ポート、 またはダイアグラムで論理的にアドレス指定可能なメモ リ空間です。

Vivado Design Suite の IP インテグレーターは、 業界標準の IP-XACT データ フォーマッ トに従って、 メモ リ要件および機能をキャプチャします。ブロ ッ クの中には複数のマスター インターフェイスに関連するアドレス空間が 1 つ含まれるものがあ り ます。 たとえば、 システム バスおよび高速メモ リ バスの付いたプロセッサなどです。 その他のコンポーネン トには、 複数のマスター インターフェイス (命令用 1 つ、 データ用 1 つ) に関連するアドレス空間が複数含まれるものがあ り ます。

マスター インターフェイスには、 アドレス空間 (bd_addr_space オブジェク ト ) が含まれます。 スレーブがマスター アドレス空間にマップされる場合、 アドレス セグメン ト オブジェク ト (bd_addr_seg) が作成され、 スレーブのアドレスセグメン トがマスターへマップされます。

関連オブジェク ト

29 ページの図 1-9 に示すよ うに、 ブロ ッ ク デザインのアドレス空間セグメン ト (bd_addr_seg) は、 ブロ ッ ク デザインまたはダイアグラムで見つかった AXI マスター インターフェイスのアドレス空間に関連付けられます。 アドレス空間は、 セル上のイ ン ターフ ェ イ ス ピン (bd_intf_pin) に、 外部 AXI マス ターの場合はイ ン ターフ ェ イ ス ポー ト(bd_intf_port) に関連付けられます。 アド レス空間は、 マップされたスレーブ インターフェイスのメモ リ空間であるbd_addr_segs にセグメン ト分けされます。 これらの関連オブジェク トの bd_addr_space オブジェク トは、次を使用すると取得できます。

get_bd_addr_spaces -of_objects [get_bd_cells /microblaze_0]

次を使用する と、 ブロ ッ ク デザインのアドレス空間に関連するオブジェク ト を取得するこ と もできます。

get_bd_intf_pins -of_objects [get_bd_addr_spaces *SLMB]

プロパティ  

次に、 ブロ ッ ク デザインのアドレス空間オブジェク ト (bd_addr_space) のプロパティ と値の例を示します。

Property Type Read-only Visible ValueCLASS string true true bd_addr_spaceNAME string false true S_AXI_CTRLOFFSET string false true PATH string true true /microblaze_0_local_memory/dlmb_bram_if_cntlr/S_AXI_CTRLRANGE string false true 4096TYPE string false true

bd_addr_space オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tclシェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_addr_spaces] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 31UG912 (v2014.2) 2014 年 8 月 5 日

Page 32: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

BD_CELL 

説明

ブロ ッ ク デザイン セル (bd_cell オブジェク ト ) は、 IP インテグレーターの IP コア オブジェク トのインスタンス、 または階層ブロ ッ ク デザイン セルです。 リーフ セルは、 IP カタログからのコアです。 階層セルはモジュールまたはブロ ッ クで、 1 つ以上のレベルのロジッ クを含み、 リーフ セルも含みます。

bd_cell オブジェク トの TYPE プロパティでは、ブロ ッ ク デザイン セルが IP カタログからのリーフ セルと して (TYPE== IP)、 または追加ロジッ クを含む階層モジュールと して (TYPE == HIER) 識別されます。

関連オブジェク ト   

図 1-10 に示すよ うに、 ブロ ッ ク デザイン セル (bd_cell) はブロ ッ ク デザインまたはダイアグラム オブジェク トに含まれます。セルには、ブロ ッ ク デザイン ピン (bd_pin) と インターフェイス ピン (bd_intf_pin) が含まれます。 階層的にはブロ ッ ク デザイン ポート (bd_port) およびインターフェイス ポート (bd_intf_port) を含めるこ とができます。これらは、ネッ ト (bd_net) およびインターフェイス ネッ ト (bd_intf_net) によ り接続されます。 メモ リ関連のブロ ッ ク デザイン セルには、アドレス空間 (bd_addr_space) とアドレス セグメン ト (bd_addr_seg) も含めるこ とができます。たとえば、次を使用する と、 これらのオブジェク トに関連するブロッ ク デザイン セルを取得できます。

get_bd_cells -of_objects [get_bd_addr_spaces]

次を使用する と、 ブロ ッ ク デザイン セルに関連するオブジェク ト を取得できます。

get_bd_addr_spaces -of_objects [get_bd_cells]

また、次を使用する と、別のブロ ッ ク デザイン セルの階層的オブジェク トであるブロ ッ ク デザイン セルを取得するこ と もできます。

get_bd_cells -of_objects [get_bd_cells microblaze_0_axi_periph]

X-Ref Target - Figure 1-10

図 1‐10 : ブロック  デザイン  セル 

Vivado プロパティ  リファレンス japan.xilinx.com 32UG912 (v2014.2) 2014 年 8 月 5 日

Page 33: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ

ブロ ッ ク デザイン セル オブジェク トの特定のプロパティは、 そのオブジェク トの示すネッ トのタイプによって異なり ます。次の表には、 Vivado Design Suite で bd_cell オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_cellCONFIG.C_BRK string false true 0CONFIG.C_DATA_SIZE string false true 32CONFIG.C_DBG_MEM_ACCESS string false true 0CONFIG.C_DBG_REG_ACCESS string false true 0CONFIG.C_INTERCONNECT string false true 2CONFIG.C_JTAG_CHAIN string false true 2CONFIG.C_MB_DBG_PORTS string false true 1CONFIG.C_M_AXI_ADDR_WIDTH string false true 32CONFIG.C_M_AXI_DATA_WIDTH string false true 32CONFIG.C_M_AXI_THREAD_ID_WIDTH string false true 1CONFIG.C_S_AXI_ACLK_FREQ_HZ string false true 100000000CONFIG.C_S_AXI_ADDR_WIDTH string false true 32CONFIG.C_S_AXI_DATA_WIDTH string false true 32CONFIG.C_TRIG_IN_PORTS string false true 1CONFIG.C_TRIG_OUT_PORTS string false true 1CONFIG.C_USE_BSCAN string false true 0CONFIG.C_USE_CONFIG_RESET string false true 0CONFIG.C_USE_CROSS_TRIGGER string false true 0CONFIG.C_USE_UART string false true 1CONFIG.C_XMTC string false true 0CONFIG.Component_Name string false true design_1_mdm_0_0LOCATION string false true 4 1524 450NAME string false true mdm_0PATH string true true /mdm_0SCREENSIZE string false true 220 100TYPE string true true ipVLNV string true true xilinx.com:ip:mdm:3.1

bd_cell オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_cells] 0]

BD_INTF_NET 

説明

インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、個別信号と複数バスの両方が含まれす。たとえば、AXI4-Lite マスターには多くの信号と複数のバスが含まれ、 これらはすべて接続に必要です。 これらの信号およびバスをインターフェイスにグループ化する と、Vivado IP インテグレーターで共通のインターフェイスが識別できるよ うになり、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスによる標準インターフェイスは、 Vivadoインス トール ディレク ト リの data/ip/interfaces に含まれます。インターフェイス ネッ ト、ピンおよびポートの詳細は、

Vivado プロパティ  リファレンス japan.xilinx.com 33UG912 (v2014.2) 2014 年 8 月 5 日

Page 34: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) を参照してください。

ブロ ッ ク デザインのインターフェイス ネッ ト (bd_intf_net オブジェク ト ) は、ブロ ッ ク デザイン セルのインターフェイス ピンをほかのインターフェイス ピンまたは外部インターフェイス ポートに接続します。 bd_intf_net オブジェクトは、複数レベルのデザイン階層を介して接続され、ブロ ッ ク デザイン セルが接続されます。すべてのインターフェイス ネッ トには、 デザインで識別できるよ う な名前が付きます。 これらのネッ トへ接続されるすべてのブロ ッ ク デザイン セル、 インターフェイス ピン、 およびインターフェイス ポートは電気的に接続されています。

関連オブジェク ト   

34 ページの図 1-11 に示すよ うに、 ブロ ッ ク デザイン インターフェイス ネッ ト (bd_intf_net オブジェク ト ) はブロ ック デザインまたはダイアグラムで発生し、 インターフェイス ポート (bd_intf_port) に接続され、 インターフェイス ピン (bd_intf_pin) を介し てダ イ アグ ラ ムのブロ ッ ク デザイ ン セル (bd_cell) に接続されます。 ダ イ アグ ラ ムのbd_intf_nets、 bd_cell、 bd_intf_pin、 および bd_intf_port オブジェク トは次のよ うに取得できます。

get_bd_intf_nets -of_objects [get_bd_ports]

また、 特定の bd_intf_net に接続されるブロ ッ ク デザイン セル (bd_cell)、 bd_intf_pins、 または bd_intf_port オブジェクトは次のよ うに取得できます。

get_bd_cells -of_objects [get_bd_intf_nets /INTERRUPT_1_1]

プロパティ

bd_intf_net オブジェク トのプロパティには、 次が含まれます。

Property Type Read-only Visible ValueCLASS string true true bd_intf_netNAME string false true microblaze_0_axi_periph_to_s00_couplersPATH string true true /microblaze_0_axi_periph/microblaze_0_axi_periph_to_s00_couplers

X-Ref Target - Figure 1-11

図 1‐11 : ブロック  デザイン  インターフェイス ネッ ト

Vivado プロパティ  リファレンス japan.xilinx.com 34UG912 (v2014.2) 2014 年 8 月 5 日

Page 35: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

bd_intf_net オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_intf_nets] 0]

BD_INTF_PIN

説明

インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、個別信号と複数バスの両方が含まれす。たとえば、AXI4-Lite マスターには多くの信号と複数のバスが含まれ、 これらはすべて接続に必要です。 これらの信号およびバスをインターフェイスにグループ化する と、Vivado IP インテグレーターで共通のインターフェイスが識別できるよ うになり、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスによる標準インターフェイスは、 Vivadoインス トール ディレク ト リの data/ip/interfaces に含まれます。インターフェイス ネッ ト、ピンおよびポートの詳細は、『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) を参照してください。

ブロ ッ ク デザイン インターフェイス ピン (bd_intf_pin オブジェク ト ) は、 ブロ ッ ク デザイン セルの論理的接続ポイン トです。 インターフェイス ピンでは、 セルの内部は取り除いて、 使用しやすいよ うに簡素化されます。 インターフェイス ピンは、 階層ブロ ッ ク デザイン セルまたはリーフ レベル セルに使用されます。

関連オブジェク ト  

ブロ ッ ク デザイン インターフェイス ピンは、 ブロ ッ ク デザイン セル (bd_cell) に接続され、 ブロ ッ ク デザインまたはダイ アグ ラ ムのイ ン ターフ ェ イ ス ネ ッ ト (bd_intf_net) を使用する こ と で、 その他のイ ン ターフ ェ イ ス ピン(bd_intf_pin) またはインターフェイス ポート (bd_intf_port) に接続できます。

X-Ref Target - Figure 1-12

図 1‐12 : ブロック  デザイン  インターフェイス ピン

Vivado プロパティ  リファレンス japan.xilinx.com 35UG912 (v2014.2) 2014 年 8 月 5 日

Page 36: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

bd_addr_space、 bd_addr_seg、 bd_cell、 および bd_intf_net オブジェク トの bd_intf_pins は、 次のよ うに取得できます。

get_bd_intf_pins -of_objects [get_bd_cells clk_wiz_1]

また、 次を使用する と、 特定の bd_intf ピンの bd_addr_spaces、 bd_addr_segs, bd_cells、 および bd_intf_nets を取得するこ と もできます。

get_bd_addr_spaces -of_objects [get_bd_intf_pins microblaze_0/*]

プロパティ  

ブロ ッ ク デザイン インターフェイス ピン オブジェク トの特定のプロパティは、そのピンのタイプによって変わることがあ り ます。 次の表には、 マスター AXI インターフェイスのピン オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueBRIDGES string false false CLASS string true true bd_intf_pinCONFIG.ADDR_WIDTH string true true 32CONFIG.ARUSER_WIDTH string true true 0CONFIG.AWUSER_WIDTH string true true 0CONFIG.BUSER_WIDTH string true true 0CONFIG.CLK_DOMAIN string true true CONFIG.DATA_WIDTH string true true 32CONFIG.FREQ_HZ string true true 100000000CONFIG.ID_WIDTH string true true 0CONFIG.MAX_BURST_LENGTH string true true 1CONFIG.NUM_READ_OUTSTANDING string true true 1CONFIG.NUM_WRITE_OUTSTANDING string true true 1CONFIG.PHASE string true true 0.000CONFIG.PROTOCOL string true true AXI4LITECONFIG.READ_WRITE_MODE string true true READ_WRITECONFIG.RUSER_WIDTH string true true 0CONFIG.SUPPORTS_NARROW_BURST string true true 0CONFIG.WUSER_WIDTH string true true 0LOCATION string false true MODE string true true MasterNAME string false true M_AXI_DPPATH string true true /microblaze_0/M_AXI_DPTYPE string true true ipVLNV string true true xilinx.com:interface:aximm_rtl:1.0

bd_intf_pin オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_intf_pins */*] 0]

または、 次の Tcl スク リプ ト を使用する と、 各ブロ ッ ク デザイン セルの bd_intf_pin オブジェク ト それぞれのプロパティがレポート されます。

foreach x [get_bd_intf_pins -of_objects [get_bd_cells]] {puts "Next Interface Pin starts here

..............................................."report_property -all $x

}

Vivado プロパティ  リファレンス japan.xilinx.com 36UG912 (v2014.2) 2014 年 8 月 5 日

Page 37: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

BD_INTF_PORT

説明 

インターフェイス とは共通のファンクシ ョ ンを共有する信号をグループ化したもので、個別信号と複数バスの両方が含まれす。たとえば、AXI4-Lite マスターには多くの信号と複数のバスが含まれ、 これらはすべて接続に必要です。 これらの信号およびバスをインターフェイスにグループ化する と、Vivado IP インテグレーターで共通のインターフェイスが識別できるよ うになり、 自動的に 1 つの手順で自動的に複数の接続が実行されます。

インターフェイスは、 IP-XACT 規格を使用して定義されます。 ザイ リ ンクスによる標準インターフェイスは、 Vivadoインス トール ディレク ト リの data/ip/interfaces に含まれます。インターフェイス ネッ ト、ピンおよびポートの詳細は、『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) を参照してください。

ブロ ッ ク デザイン インターフェイス ポートは、特別なタイプの階層ピン (ブロ ッ ク ダイアグラムの 上位のピン) です。 ブロッ ク デザインでは、 ポートおよびインターフェイスが FPGA デザイン全体またはシステム レベル デザイン内外のブロ ッ ク デザインまたはダイアグラムと外部接続との通信に使用される主なポートにな り ます。

関連オブジェク ト   

ブロ ッ ク デザイン インターフェイス ポート (bd_intf_port オブジェク ト ) はブロ ッ ク デザインまたはダイアグラムで発生し、ブロ ッ ク デザイン インターフェイス ネッ ト (bd_intf_net) によってブロ ッ ク デザイン セル (bd_cell) のピンまでに接続されます。 ダイアグラムの bd_intf_ports、 またはブロ ッ ク デザイン インターフェイス ネッ トへ接続されるものは、 次のよ うに取得できます。

get_bd_intf_ports -of_objects [get_bd_intf_nets]

次を使用する と、 bd_intf_port に接続されるインターフェイス ネッ ト を取得するこ と もできます。

X-Ref Target - Figure 1-13

図 1‐13 : ブロック  デザイン  インターフェイス ポート

Vivado プロパティ  リファレンス japan.xilinx.com 37UG912 (v2014.2) 2014 年 8 月 5 日

Page 38: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

get_bd_intf_nets -of_objects [get_bd_intf_ports CLK*]

プロパティ  

ブロ ッ ク デザイン インターフェイス ポート オブジェク トの特定のプロパティは、そのポートのタイプによって変わるこ とがあ り ます。 次の表には、 ク ロ ッ クの bd_intf_port オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_intf_portCONFIG.FREQ_HZ string false true 100000000LOCATION string false true 130 460MODE string true true SlaveNAME string false true CLK_IN1_DPATH string true true /CLK_IN1_DVLNV string true true xilinx.com:interface:diff_clock_rtl:1.0

bd_intf_port オブジェ ク ト のプロパテ ィ をレポー トするには、 次のコマン ド をコピーして Vivado Design Suite の Tclシェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_ports] 0]

BD_NET

説明

ブロ ッ ク デザイン ネッ ト (bd_net オブジェク ト ) は、 IP インテグレーター ブロ ッ ク デザイン セルのピンをその他のピンまたは外部ポートに接続します。 bd_net オブジェク トは、 複数レベルのデザイン階層を介して接続され、 ブロ ック デザイン セルが接続されます。すべてのネッ トには、デザインで識別できるよ う な名前が付きます。これらのネットへ接続されるすべてのブロ ッ ク デザイン セル、 ピン、 およびポートは電気的に接続されています。

Vivado プロパティ  リファレンス japan.xilinx.com 38UG912 (v2014.2) 2014 年 8 月 5 日

Page 39: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

関連オブジェク ト   

ブロ ッ ク デザイン ネッ ト (bd_net オブジェク ト ) はブロ ッ ク デザインまたはダイアグラムで発生し、ポート (bd_port)に接続され、 ピン (bd_pin) を介してダイアグラムのブロ ッ ク デザイン セル (bd_cell) に接続されます。 ダイアグラムの bd_nets、 bd_cell、 bd_pin、 および bd_port オブジェク トは次のよ うに取得できます。

get_bd_nets -of_objects [get_bd_ports]

また、 特定の bd_net に接続される bd_cells、 bd_pins、 または bd_port オブジェク トは次のよ うに取得できます。

get_bd_cells -of_objects [get_bd_nets clk_wiz*]

プロパティ

bd_net オブジェク トのプロパティには、 次が含まれます。

Property Type Read-only Visible ValueCLASS string true true bd_netNAME string false true clk_wiz_1_lockedPATH string true true /clk_wiz_1_locked

bd_pin オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_nets] 0]

X-Ref Target - Figure 1-14

図 1‐14 : ブロック  デザイン  ネッ ト

Vivado プロパティ  リファレンス japan.xilinx.com 39UG912 (v2014.2) 2014 年 8 月 5 日

Page 40: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

BD_PIN

説明

ブロ ッ ク デザイン ピン (bd_pin オブジェク ト ) は、 ブロ ッ ク デザイン セルの論理的接続ポイン トです。 ブロ ッ ク デザイン ピンを使用する と、 セルの内部ロジッ クを取り除いて、 使用しやすいよ うに簡素化できます。 ピンは、 スカラーまたはバス ピンで、 階層ブロ ッ ク デザイン セルまたはリーフ レベル セルで使用できます。

関連オブジェク ト   

図 1-15 に示すよ うに、 ブロ ッ ク デザイン ピンは、 ブロ ッ ク デザイン セル (bd_cell) に接続され、 ブロ ッ ク デザインまたはダイアグラムのネッ ト (bd_net) を使用するこ とで、その他のピン (bd_pin) またはポート (bd_port) に接続できます。

bd_cell および bd_net オブジェク トの bd_pins は、 次のよ うに取得できます。

get_bd_pins -of_objects [get_bd_cells clk_wiz_1]

また、 次を使用する と、 特定の bd_pin の bd_cell または bd_net を取得するこ と もできます。

get_bd_cells -of [get_bd_pins */Reset]

X-Ref Target - Figure 1-15

図 1‐15 : ブロック  デザイン  ピン

Vivado プロパティ  リファレンス japan.xilinx.com 40UG912 (v2014.2) 2014 年 8 月 5 日

Page 41: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ

ブロ ッ ク デザイン ピン オブジェク トの特定のプロパティは、 そのピンのタイプによって変わるこ とがあ り ます。 次の表には、 Vivado Design Suite で CLK タイプの bd_pin オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_pinCONFIG.ASSOCIATED_BUSIF string true true CONFIG.ASSOCIATED_RESET string true true CONFIG.CLK_DOMAIN string true true design_1_clk_wiz_1_0_clk_out1CONFIG.FREQ_HZ string true true 100000000CONFIG.PHASE string true true 0.0DIR string true true OINTF string true true FALSELEFT string true true LOCATION string false true NAME string false true clk_out1PATH string true true /clk_wiz_1/clk_out1RIGHT string true true TYPE string true true clk

bd_net オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_pins */*] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 41UG912 (v2014.2) 2014 年 8 月 5 日

Page 42: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

BD_PORT

説明

ブロ ッ ク デザイン ポートは、特別なタイプの階層ピン (ダイアグラムの 上位のピン) です。ブロ ッ ク デザインでは、ポートは、 FPGA デザイン全体またはシステム レベル デザイン内外のブロ ッ ク デザインまたはダイアグラムとの外部接続との通信に使用される主なポートです。

関連オブジェク ト   

ブロ ッ ク デザイン ポート (bd_port オブジェク ト ) はブロ ッ ク デザインまたはダイアグラムで発生し、 ブロ ッ ク デザイン ネッ ト (bd_net) によって、 ダイアグラムのブロ ッ ク デザイン セル (bd_cell) のピン (bd_pin) まで接続されます。ダイアグラムの bd_ports、 またはブロ ッ ク デザイン ネッ トへ接続されるものは、 次のよ うに取得できます。

get_bd_ports -of_objects [get_bd_nets]

次を使用する と、 bd_port オブジェク トに接続されるインターフェイス ネッ ト を取得できます。

get_bd_nets -of_objects [get_bd_ports aux_reset_in]

X-Ref Target - Figure 1-16

図 1‐16 : ブロック  デザイン  ピン

Vivado プロパティ  リファレンス japan.xilinx.com 42UG912 (v2014.2) 2014 年 8 月 5 日

Page 43: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ  

ブロ ッ ク デザイン ポート オブジェク トの特定のプロパティは、そのポートのタイプによって変わるこ とがあ り ます。次の表には、 Vivado Design Suite で RESET タイプの bd_port オブジェク トに割り当てられたプロパティの一部をその値の例と共にリ ス ト しています。

Property Type Read-only Visible ValueCLASS string true true bd_portCONFIG.POLARITY string false true ACTIVE_LOWDIR string true true IINTF string true true FALSELEFT string false true LOCATION string false true 130 560NAME string false true aux_reset_inPATH string true true /aux_reset_inRIGHT string false true TYPE string true true rst

bd_port オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_bd_ports] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 43UG912 (v2014.2) 2014 年 8 月 5 日

Page 44: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

ハードウェア マネージャー オブジェク トハードウェア マネージャーは Vivado Design Suite の機能で、 デバイス プログラマーまたはデバッグ ボードへ接続したり、プログラムされたハード ウェア デバイスを実行したりできます。ハード ウェア マネージャーを使用する と、デバイス上のデバッグ ロジッ クを実行して、現在の値を設定または取り出す信号にアクセスできるよ うになり ます。プログラムされたデバイスのデバッグ コアには、「HW_ILA」, 「HW_VIO」、「HW_AXI」, 「hw_sysmon」、「HW_SIO_IBERT」コアなどがあ り ます (図 1-17)。

デバッグ コアは、ザイ リ ンクス IP カタログを使用して RTL デザインにインスタンシエートできます。 ILA の場合は、ネッ ト リ ス ト ベースのデバッグ フローを使用して合成済みネッ ト リ ス ト に挿入できます。 詳細は、 『Vivado DesignSuite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 16] を参照してください。

X-Ref Target - Figure 1-17

図 1‐17 :ハードウェア マネージャー オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 44UG912 (v2014.2) 2014 年 8 月 5 日

Page 45: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_AXI

説明 

JTAG-to-AXI Master コア (ハードウェア AXI オブジェク ト ) は、AXI ト ランザクシ ョ ンを駆動し、ザイ リ ンクス FPGAデバイス (ハード ウェア デバイス オブジェク ト ) 上の AXI 信号を駆動する AXI マスターと して機能するカスタマイズ可能な IP コアです。 AXI Master コアは、 AXI4 インターフェイスおよび AXI-Lite プロ ト コルをサポー ト します。AXI データ バスの幅は設定可能です。 AXI コアでは、 AXI4 イ ン ターコネク ト を介して メ モ リ にマップされたAXI4-Lite または AXI4 スレーブを駆動できます。このコアは、マスターと してインターコネク トに接続するこ と も可能です。

JTAG to AXI Master コアは、 ザイ リ ンクス IP カタログから RTL コードにインスタンシエートする必要があ り ます。JTAG-to-AXI コアの詳細は、 『LogiCORE IP JTAG to AXI Master 製品ガイ ド』 (PG174) [参照 20] を参照して ください。

関連オブジェク ト   

AXI マスター コアは、 ザイ リ ンクス IP カタログから RTL ソース ファ イルのデザインに追加できます。 AXI コアは、get_debug_cores コマンドを使用して合成済みネッ ト リ ス ト デザインで検索できます。これらは Vivado Design Suite のハードウェア マネージャーで検索される AXI マスター コア オブジェク ト (hw_axi) ではあ り ませんが、関連はしています。

hw_axi コアは、 プログラム済みのハード ウェア デバイス オブジェク ト (hw_device) のハード ウェア マネージャーに含まれます。 hw_device の hw_axi は次のよ うに取得できます。

get_hw_axis -of [get_hw_devices]

X-Ref Target - Figure 1-18

図 1‐18 :ハードウェア AXI オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 45UG912 (v2014.2) 2014 年 8 月 5 日

Page 46: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

また、 hw_axi コアには関連する AXI ト ランザクシ ョ ンも含まれ、 次のよ うに取得できます。

get_hw_axi_txns -of [get_hw_axis]

プロパティ  

report_property コマンドを使用する と、 hw_axi コアに割り当てられたプロパティをレポートするこ とができます。 詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。次は hw_axi オブジェクトに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_axiHW_CORE string true false core_8NAME string true true hw_axi_1PROTOCOL string true true AXI4_FullSTATUS.AXI_READ_BUSY bool true true 0STATUS.AXI_READ_DONE bool true true 0STATUS.AXI_WRITE_BUSY bool true true 0STATUS.AXI_WRITE_DONE bool true true 0STATUS.BRESP string true true OKAYSTATUS.RRESP string true true OKAY

特定の hw_axi のプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたはTcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_axis] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 46UG912 (v2014.2) 2014 年 8 月 5 日

Page 47: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_BITSTREAM

説明 

ハード ウェア ビッ ト ス ト リーム オブジェ ク ト (hw_bitstream) は、 ビッ ト ス ト リーム ファ イルから作成され、 VivadoDesign Suite のハードウェア マネージャー機能でハードウェア デバイス オブジェク ト (hw_device) に関連付けられます。

ビッ ト ス ト リーム ファ イルは、 write_bitstream コマンドを使用して配置配線済みデザインから作成されます。 ハードウェア ビッ ト ス ト リーム オブジェク トは、 create_hw_bitstream コマンドを使用する とビッ ト ス ト リーム ファ イルから手動で作成されます。 ハードウェア デバイスが program_hw_device コマンドでプログラムされる場合は、 自動で作成されます。

ハードウェア ビッ ト ス ト リーム オブジェク トは、デバイスの PROGRAM.HW_BITSTREAM プロパティを使用して指定のハードウェア デバイスに関連付けられます。 このプロパティは、 create_hw_bitstream コマンドによ り自動的に設定されます。 PROGRAM.FILE プロパティには、 指定のビッ ト ス ト リーム ファ イルのファイル パスも含まれます。

関連オブジェク ト   

hw_bitstream オブジェク トは PROGRAM.BITSTREAM プロパティを使用して hardware_device に関連付けられます。次のよ うに get_property コマンドを使用して hw_bitstream オブジェク ト をクエ リーする と、 プロパティにオブジェク トを戻すこ とができます。

get_property PROGRAM.HW_BITSTREAM [current_hw_device]

X-Ref Target - Figure 1-19

図 1‐19 :ハードウェア ビッ トス ト リーム オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 47UG912 (v2014.2) 2014 年 8 月 5 日

Page 48: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ  

report_property コマンドを使用する と、ハード ウェア ビッ ト ス ト リーム オブジェク トに割り当てられたプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。 hw_bitsream オブジェク トには次のよ うなプロパティが含まれます。

Property Type Read-only Visible ValueCLASS string true true hw_bitstreamDESIGN string true true ks_counter2DEVICE string true true xc7k325tNAME string true true C:/Data/ks_counter2_k7/project_1/project_1.runs/impl_1/ks_counter2.bitPART string true true xc7k325tffg900-3SIZE string true true 11443612USERCODE string true true 0XFFFFFFFF

hw_bitsream オブジェク トのプロパティをレポートするには、Vivado ロジッ ク解析で get_property コマンドを使用してhw_device の PROGRAM.HW_BITSTREAM プロパティで定義されたオブジェク トが戻されるよ うにします。次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_property PROGRAM.HW_BITSTREAM [current_hw_device]]

HW_CFGMEM

説明 

ザイ リ ンクス FPGA をコンフ ィギュレーシ ョ ンするには、 ハード ウェア デバイスの内部メモ リにデザイン特定のコンフ ィギュレーシ ョ ン データをビッ ト ス ト リーム ファ イルの形で読み込みます。 hw_cfgmem では、 Vivado DesignSuite のハード ウェア マネージャー機能でザイ リ ンクス FPGA デバイスをコンフ ィギュレーシ ョ ンおよびブートするために使用されるフラ ッシュ メモ リ デバイスが定義されます。

hw_cfgmem オブジェク ト をプログラムするには、 create_hw_cfgmem コマンドを使用します。 create_hw_cfgmem オブジェク ト を作成し、 ハード ウェア デバイスに関連付けたら、 program_hw_cfgmem コマンドを使用してコンフ ィギュレーシ ョ ン メモ リ をビッ ト ス ト リームおよびその他のデータでプログラムできます。

関連オブジェク ト   X-Ref Target - Figure 1-20

図 1‐20 :ハードウェア CFGMEM オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 48UG912 (v2014.2) 2014 年 8 月 5 日

Page 49: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

hw_cfgmem オブジェク トは、 デバイス オブジェク トの PROGRAM.HW_CFGMEM プロパティを使用して指定のハードウェア デバイス オブジェク トに関連付けられます。 hw_cfgmem オブジェク ト を操作するには、 get_property コマンドを使用してハード ウェア デバイスからオブジェク ト を取得します。

get_property PROGRAM.HW_CFGMEM [current_hw_device]

プロパティ  

report_property コマンドを使用する と、hw_cfgmem オブジェク トに割り当てられたプロパティをレポートするこ とができます。詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。hw_cfgmemオブジェク トには次のよ うなプロパティが含まれます。

Property Type Read-only Visible ValueCFGMEM_NAME string true true 28f00ap30t-bpi-x16_0CFGMEM_PART cfgmem_part false true 28f00ap30t-bpi-x16CLASS string true true hw_cfgmemNAME string false true 28f00ap30t-bpi-x16_0PROGRAM.ADDRESS_RANGE string false true use_filePROGRAM.BIN_OFFSET int false true 0PROGRAM.BLANK_CHECK bool false true 0PROGRAM.BPI_RS_PINS string false true NONEPROGRAM.CFG_PROGRAM bool false true 0PROGRAM.ERASE bool false true 1PROGRAM.FILE string false true C:/Data/Vivado_Debug/kc705_8led.mcsPROGRAM.FILE_1 string false true C:/Data/Vivado_Debug/kc705_8led.mcsPROGRAM.FILE_2 string false true PROGRAM.VERIFY bool false true 0PROGRAM.ZYNQ_FSBL string false true

hw_cfgmem オブジェク トのプロパティをレポートするには、ハード ウェア マネージャー機能が開いている と きに、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [get_property PROGRAM.HW_CFGMEM [current_hw_device] ]

Vivado プロパティ  リファレンス japan.xilinx.com 49UG912 (v2014.2) 2014 年 8 月 5 日

Page 50: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_DEVICE

説明 

Vivado Design Suite のハードウェア マネージャー機能内では、各ハード ウェア ターゲッ トにプログラムまたはデバッグ目的で使用するザイ リ ンクス FPGA デバイスを 1 つまたは複数含めるこ とができます。hw_device オブジェク トは、開いたハード ウェア ターゲッ トの物理的パーツです。 current_hw_device コマンドでは、現在のデバイスが指定されるか、 戻されます。

関連オブジェク ト   

ハードウェア デバイスはハード ウェア ターゲッ トに関連付けられており、次のよ うに hw_target オブジェク トのオブジェク ト と して取得できます。

get_hw_devices -of [get_hw_targets]

次を使用する と、 ハード ウェア デバイス オブジェク トにプログラムされるデバッグ コアを取得できます。

get_hw_ilas -of [current_hw_device]

プロパティ  

hw_device オブジェク トのプロパティは、 選択したターゲッ ト パーツによって変わり ます。 report_property コマンドを使用する と、hw_device オブジェク トに割り当てられたプロパティをレポートするこ とができます。詳細は、『VivadoDesign Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。

次は hw_device オブジェク トに割り当てられるプロパティ とその値の例を示しています。

X-Ref Target - Figure 1-21

図 1‐21 :ハードウェア デバイス オブジェク ト  

プロパティ タイプ

CLASS string

DID string

Vivado プロパティ  リファレンス japan.xilinx.com 50UG912 (v2014.2) 2014 年 8 月 5 日

Page 51: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

IDCODE string

INDEX int

IR_LENGTH int

IS_SYSMON_SUPPORTED bool

MASK int

NAME string

PART string

PROBES.FILE string

PROGRAM.FILE string

PROGRAM.HW_BITSTREAM hw_bitstream

PROGRAM.HW_CFGMEM hw_cfgmem

PROGRAM.HW_CFGMEM_BITFILE string

PROGRAM.HW_CFGMEM_TYPE string

PROGRAM.IS_SUPPORTED bool

PROGRAM.OPTIONS string

REGISTER.BOOT_STATUS string

REGISTER.BOOT_STATUS.BIT00_0_STATUS_VALID string

REGISTER.BOOT_STATUS.BIT01_0_FALLBACK string

REGISTER.BOOT_STATUS.BIT02_0_INTERNAL_PROG string

REGISTER.BOOT_STATUS.BIT03_0_WATCHDOG_TIMEOUT_ERROR string

REGISTER.BOOT_STATUS.BIT04_0_ID_ERROR string

REGISTER.BOOT_STATUS.BIT05_0_CRC_ERROR string

REGISTER.BOOT_STATUS.BIT06_0_WRAP_ERROR string

REGISTER.BOOT_STATUS.BIT07_RESERVED string

REGISTER.BOOT_STATUS.BIT08_1_STATUS_VALID string

REGISTER.BOOT_STATUS.BIT09_1_FALLBACK string

REGISTER.BOOT_STATUS.BIT10_1_INTERNAL_PROG string

REGISTER.BOOT_STATUS.BIT11_1_WATCHDOG_TIMEOUT_ERROR string

REGISTER.BOOT_STATUS.BIT12_1_ID_ERROR string

REGISTER.BOOT_STATUS.BIT13_1_CRC_ERROR string

REGISTER.BOOT_STATUS.BIT14_1_WRAP_ERROR string

REGISTER.BOOT_STATUS.BIT15_RESERVED string

REGISTER.CONFIG_STATUS string

REGISTER.CONFIG_STATUS.BIT00_CRC_ERROR string

REGISTER.CONFIG_STATUS.BIT01_DECRYPTOR_ENABLE string

REGISTER.CONFIG_STATUS.BIT02_PLL_LOCK_STATUS string

REGISTER.CONFIG_STATUS.BIT03_DCI_MATCH_STATUS string

REGISTER.CONFIG_STATUS.BIT04_END_OF_STARTUP_(EOS)_STATUS string

REGISTER.CONFIG_STATUS.BIT05_GTS_CFG_B_STATUS string

REGISTER.CONFIG_STATUS.BIT06_GWE_STATUS string

REGISTER.CONFIG_STATUS.BIT07_GHIGH_STATUS string

REGISTER.CONFIG_STATUS.BIT08_MODE_PIN_M[0] string

REGISTER.CONFIG_STATUS.BIT09_MODE_PIN_M[1] string

REGISTER.CONFIG_STATUS.BIT10_MODE_PIN_M[2] string

REGISTER.CONFIG_STATUS.BIT11_INIT_B_INTERNAL_SIGNAL_STATUS string

Vivado プロパティ  リファレンス japan.xilinx.com 51UG912 (v2014.2) 2014 年 8 月 5 日

Page 52: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

hw_device のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tclコンソールに貼り付けます。

report_property -all [lindex [get_hw_devices] 0]

REGISTER.CONFIG_STATUS.BIT12_INIT_B_PIN string

REGISTER.CONFIG_STATUS.BIT13_DONE_INTERNAL_SIGNAL_STATUS string

REGISTER.CONFIG_STATUS.BIT14_DONE_PIN string

REGISTER.CONFIG_STATUS.BIT15_IDCODE_ERROR string

REGISTER.CONFIG_STATUS.BIT16_SECURITY_ERROR string

REGISTER.CONFIG_STATUS.BIT17_SYSTEM_MONITOR_OVER-TEMP_ALARM_STATUS

string

REGISTER.CONFIG_STATUS.BIT18_CFG_STARTUP_STATE_MACHINE_PHASE string

REGISTER.CONFIG_STATUS.BIT21_RESERVED string

REGISTER.CONFIG_STATUS.BIT25_CFG_BUS_WIDTH_DETECTION string

REGISTER.CONFIG_STATUS.BIT27_HMAC_ERROR string

REGISTER.CONFIG_STATUS.BIT28_PUDC_B_PIN string

REGISTER.CONFIG_STATUS.BIT29_BAD_PACKET_ERROR string

REGISTER.CONFIG_STATUS.BIT30_CFGBVS_PIN string

REGISTER.CONFIG_STATUS.BIT31_RESERVED string

REGISTER.IR string

REGISTER.IR.BIT0_ALWAYS_ONE string

REGISTER.IR.BIT1_ALWAYS_ZERO string

REGISTER.IR.BIT2_ISC_DONE string

REGISTER.IR.BIT3_ISC_ENABLED string

REGISTER.IR.BIT4_INIT_COMPLETE string

REGISTER.IR.BIT5_DONE string

REGISTER.USERCODE string

SET_UNKNOWN_DEVICE bool

USER_CHAIN_COUNT string

Vivado プロパティ  リファレンス japan.xilinx.com 52UG912 (v2014.2) 2014 年 8 月 5 日

Page 53: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_ILA

説明 

Integrated Logic Analyzer (ILA) デバッグ コアを使用する と、 コアのデバッグ プローブを介してインプリ メン ト されたデザインの信号のインシステム モニタ リ ングを実行できます。 ILA コアは、 特定のハード ウェア イベン トが リ アルタイムで ト リガーされて、システム速度でプローブのデータがキャプチャされるよ うにコンフ ィギュレーシ ョ ンできます。

ILA デバッグ コアをデザインに追加するには、 IP カタログから ILA コアを RTL デザインにインスタンシエートするか、 create_debug_core コマンドを使用して合成済みネッ ト リ ス トに ILA コアを追加します。 ILA デバッグ コアのデザインへの追加に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) を参照してください。

デザインからビ ッ ト ス ト リームを生成し、 program_hw_devices コマン ド を使用してデバイスをプログラムする と、get_hw_ilas コマンドを使用してハード ウェア マネージャーからデザインに含まれる ILA デバッグ コアにアクセスできます。デザインの ILA デバッグ コアに割り当てられているデバッグ プローブは、 get_hw_probes コマンドを使用して取得できます。

関連オブジェク ト   

ILA デバッグ コアは、 RTL ソース ファ イルまたは create_debug_core コマンドでデザインに追加できます。 デバッグコアは、get_debug_cores コマンドを使用して合成済みネッ ト リ ス ト デザインで検索できます。これらは Vivado Design

X-Ref Target - Figure 1-22

図 1‐22 :ハードウェア ILA オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 53UG912 (v2014.2) 2014 年 8 月 5 日

Page 54: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

Suite のハードウェア マネージャーに含まれる ILA デバッグ オブジェク ト (hw_ila) ではあ り ませんが、 関連はしています。

ハードウェア ILA デバッグ コアは、プログラム済みのハードウェア デバイス オブジェク ト (hw_device) のハードウェア マネージャーに含まれます。 hw_device の hw_ila は次のよ うに取得できます。

get_hw_ilas -of [current_hw_device]

ハードウェア プローブのよ うなハード ウェア ILA デバッグ コアと関連するオブジェク ト と コアからキャプチャされたデータ サンプルもあ り ます。 ILA デバッグ コアに関連するオブジェク トは、 次のよ うに取得できます。

get_hw_ila_datas -of_objects [get_hw_ilas hw_ila_2]

プロパティ  

report_property コマンドを使用する と、 特定の hw_ila に割り当てられた実際のプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。

次は hw_ila オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_ilaCONTROL.CAPTURE_CONDITION enum false true ANDCONTROL.CAPTURE_MODE enum false true ALWAYSCONTROL.DATA_DEPTH int false true 1024CONTROL.IS_ILA_TO_DRIVE_TRIG_OUT_ENABLED bool true true 0CONTROL.IS_TRIG_IN_TO_DRIVE_TRIG_OUT_ENABLED bool true true 0CONTROL.IS_TRIG_IN_TO_ILA_ENABLED bool true true 0CONTROL.TRIGGER_CONDITION string false true ANDCONTROL.TRIGGER_MODE enum false true BASIC_ONLYCONTROL.TRIGGER_POSITION int false true 0CONTROL.TRIG_OUT_MODE enum true true DISABLEDCONTROL.TSM_FILE string false true CONTROL.WINDOW_COUNT int false true 1CORE_REFRESH_RATE_MS int false true 500HW_CORE string true false core_1INSTANCE_NAME string true true u_ila_0NAME string true true hw_ila_1STATIC.IS_ADVANCED_TRIGGER_MODE_SUPPORTED bool true true 1STATIC.IS_BASIC_CAPTURE_MODE_SUPPORTED bool true true 1STATIC.IS_TRIG_IN_SUPPORTED bool true true 0STATIC.IS_TRIG_OUT_SUPPORTED bool true true 0STATIC.MAX_DATA_DEPTH int true true 1024STATIC.TSM_COUNTER_0_WIDTH int true true 15STATIC.TSM_COUNTER_1_WIDTH int true true 15STATIC.TSM_COUNTER_2_WIDTH int true true 15STATIC.TSM_COUNTER_3_WIDTH int true true 15STATUS.CORE_STATUS string true true IDLESTATUS.DATA_DEPTH int true true 2147483647STATUS.IS_TRIGGER_AT_STARTUP bool true true 0STATUS.SAMPLE_COUNT int true true 0STATUS.TRIGGER_POSITION int true true 2147483647STATUS.TSM_FLAG0 bool true true 1STATUS.TSM_FLAG1 bool true true 1STATUS.TSM_FLAG2 bool true true 1STATUS.TSM_FLAG3 bool true true 1STATUS.TSM_STATE int true true 0STATUS.WINDOW_COUNT int true true 2147483647TRIGGER_START_TIME_SECONDS string true true

Vivado プロパティ  リファレンス japan.xilinx.com 54UG912 (v2014.2) 2014 年 8 月 5 日

Page 55: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

TRIGGER_STOP_TIME_SECONDS string true true

特定の HW_ILA のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_ilas] 0]

HW_ILA_DATA

説明 

ハード ウェア ILA データ オブジェク トは、 現在のハード ウェア デバイスにプログラムされた ILA デバッグ コアでキャプチャされたデータ リポジ ト リです。 hw_ila_data オブジェク トは、 upload_hw_ila_data コマンドによる FPGA デバイス (hw_device) 上の ILA デバッグ コア (hw_ila) からのデータをキャプチャするプロセスで作成されます。

また、 read_hw_ila_data コマンドでディ スクから ILA データ ファ イルを読み込んだと きにも作成されます。

hw_ila_data オブジェク トは、display_hw_ila_data コマンドを使用して Vivado ロジッ ク解析機能の波形ウ ィンド ウに表示でき、 write_hw_ila_data コマンドを使用してディ スクに保存できます。

関連オブジェク ト  

53 ページの図 1-22 に示すよ うに、ハード ウェア ILA データ オブジェク トはハード ウェア デバイスにプログラムされた ILA デバッグ コアに関連しています。 データ オブジェク トは次のよ うに取得できます。

get_hw_ila_datas -of_objects [get_hw_ilas]

プロパティ  

report_property コマンドを使用する と、 hw_ila_data オブジェク トに割り当てられたプロパティをレポートするこ とができます。詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。プロパティは、 次のとおりです。

Property Type Read-only Visible ValueCLASS string true true hw_ila_dataHW_ILA string true true hw_ila_1NAME string true true hw_ila_data_1TIMESTAMP string true true Sat Mar 08 11:05:49 2014

hw_ila_data オブジェ ク ト のプロパテ ィ をレポー トするには、 次のコマン ド をコピーして Vivado Design Suite の Tclシェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_ila_datas] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 55UG912 (v2014.2) 2014 年 8 月 5 日

Page 56: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_PROBE

説明 

ハードウェア プローブ オブジェク ト (hw_probe) は、 デザイン内の信号へのアクセスに使用され、 信号の値を監視および駆動し、 FPGA デバイスのハード ウェア イベン ト を ト ラ ッ ク します。ハード ウェア プローブは、 ILA および VIOデバッグ コアの両方に追加できます。

デバッグ プローブは、コアと一緒に RTL デザイン ソースの ILA デバッグ コアに追加するか、合成済みネッ ト リ ス トデザインの ILA コアに追加でき (create_debug_probe コマンドを使用)、 その後 connect_debug_probe コマンドを使用してデザインの信号に接続できます。

RTL デザインで VIO デバッグ コアにプローブを追加できるのは、IP カタログから IP コアをカスタマイズまたは再カスタマイズする際に信号がそのコアに接続されている場合のみです。 デザインへの ILA および VIO デバッグ コアと信号プローブの追加に関する詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) を参照してください。

デバッグ コアとプローブは write_debug_probes コマンドを使用してプローブ ファ イル (.ltx) に記述し、 ハード ウェアデバイス オブジェク トの PROBES.FILE および PROGRAM.FILE プロパティを使用してビッ ト ス ト リーム ファ イルと共にハード ウ ェア デバイ スに関連付けます。 ハード ウ ェア デバイ スに この情報をプロ グ ラ ムするには、program_hw_devices コマンドを使用します。

関連オブジェク ト   

ハードウェア プローブ オブジェク トは、開いたハード ウェア ターゲッ トでハード ウェア デバイスにプログラムされた ILA および VIO デバッグ コアに関連付けられています。これらのデバッグ コア オブジェク トに関連する hw_probeオブジェク トは、 次のよ うに取得できます。

get_hw_probes -of [get_hw_ilas hw_ila_2]get_hw_probes -of [get_hw_vios]

X-Ref Target - Figure 1-23

図 1‐23 :ハードウェア プローブ オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 56UG912 (v2014.2) 2014 年 8 月 5 日

Page 57: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ  

デバッグ プローブには、 ILA、 VIO_INPUT、 および VIO_OUTPUT の 3 つのタイプがあ り ます。 hw_probe オブジェクト に割り当てられるプロパティは、 プローブのタイプによって異な り ます。 report_property コマン ドを使用する と、hw_probe オブジェク トに割り当てられたプロパティをレポートするこ とができます。詳細は、『Vivado Design Suite Tclコマンド リ ファレンス ガイ ド』 (UG835) を参照してください。 次は、 ILA タイプの hw_probe オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCAPTURE_COMPARE_VALUE string false true eq2'hXCLASS string true true hw_probeCOMPARATOR_COUNT int true true 4COMPARE_VALUE.0 string false false eq2'hXCORE_LOCATION string true false 1:0DISPLAY_HINT string false false DISPLAY_VISIBILITY string false false HW_ILA string true true hw_ila_1NAME string true true GPIO_BUTTONS_dlyPROBE_PORT int true true 3PROBE_PORT_BITS int true true 0PROBE_PORT_BIT_COUNT int true true 2TRIGGER_COMPARE_VALUE string false true eq2'hXTYPE string true true ila

特定の hw_probe オブジェク トのプロパティ をレポートするには、 次のコマンドをコピーして Vivado Design Suite のTcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_probes -filter {TYPE == ila}] 0]report_property -all [lindex [get_hw_probes -filter {TYPE == vio_input}] 0]report_property -all [lindex [get_hw_probes -filter {TYPE == vio_output}] 0]

HW_SERVER

説明 

ハードウェア サーバーは、FPGA デザインをプログラムおよびデバッグするために使用する 1 つまたは複数のザイ リンクス FPGA デバイスで構成された JTAG チェーンを含むハード ウェア ボードハード ウェア ターゲッ トへの接続を制御します。

open_hw コマン ドでハード ウェア マネージャーを開いたら、 connect_hw_server コマン ド を使用してハード ウェアサーバーにローカルまたはリモートのいずれかで接続できます。 これによ り、 hw_server アプ リ ケーシ ョ ンが起動され、 hw_server オブジェク トが作成されます。

関連オブジェク ト  

44 ページの図 1-17 に示すよ うに、 ハード ウェア サーバーは、 ハード ウェア マネージャーの先端のオブジェク トで、ハード ウェア ターゲッ トへの接続を管理します。 hw_server に関するオブジェク トは、 次のよ うに取得できます。

get_hw_targets -of [get_hw_servers]

Vivado プロパティ  リファレンス japan.xilinx.com 57UG912 (v2014.2) 2014 年 8 月 5 日

Page 58: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ  

report_property コマンドを使用する と、 hw_server オブジェク トに割り当てられたプロパティをレポートするこ とができます。詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。次は、hw_targetオブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_serverHOST string true true localhostNAME string true true localhostPASSWORD string true true PORT string true true 60001SID string true true TCP:xcoatslab-1:3121VERSION string true true 20

hw_target のプロパティ をレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tclコンソールに貼り付けます。

report_property -all [get_hw_servers]

HW_SIO_GT

説明 

カスタマイズ可能なザイ リ ンクス FPGA 用の LogiCORE™ IP Integrated Bit Error Ratio Tester (IBERT) コアは、ギガビット ト ランシーバー (GT) を評価および監視するために設計されています。 IBERT コアはインシステム シ リ アル I/O の検証およびデバッグをイネーブルにし、 FPGA ベース システムの高速シ リ アル I/O リ ンクを計測および 適化できるよ うにします。 詳細は、 『LogiCORE IP Integrated Bit Error Ratio Tester (IBERT) for 7 Series GTX Transceivers v3.0 製品ガイ ド』 (PG132) を参照して ください。

IBERT デバッグ コアを使用する と、 GTX ト ランシーバーのダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート (DRP)ポート を介して GT ト ランス ミ ッ ターとレシーバーを設定および調整できます。 これによ り、 GT のプロパティ設定を変更したり、 ポート上の値を制御するレジスタを変更できます。

Vivado プロパティ  リファレンス japan.xilinx.com 58UG912 (v2014.2) 2014 年 8 月 5 日

Page 59: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

関連オブジェク ト   

hw_sio_gt オブジェ ク ト は、 hw_server、 hw_target、 hw_device、 hw_sio_gt、 hw_sio_common、 hw_sio_pll、 hw_sio_tx、hw_sio_rx、 または hw_sio_link オブジェク トに接続されます。 これらのオブジェク トに関連付けられた GT オブジェク トは、 次のよ うに取得できます。

get_hw_sio_gts -of_objects [get_hw_sio_links]

次を使用する と、 hw_sio_gt オブジェク トに関連付けられたオブジェク ト を取得するこ と もできます。

get_hw_sio_gtgroups -of [get_hw_sio_gts *MGT_X0Y9]

プロパティ

report_property コマンドを使用する と、特定の hw_sio_gt に割り当てられた実際のプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。

次は hw_sio_gt オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_sio_gtCPLLREFCLKSEL enum false true GTREFCLK0CPLL_FBDIV enum false true 1CPLL_FBDIV_45 enum false true 4CPLL_REFCLK_DIV enum false true 1DISPLAY_NAME string true true MGT_X0Y8DRP.ALIGN_COMMA_DOUBLE string false true 0DRP.ALIGN_COMMA_ENABLE string false true 07FDRP.ALIGN_COMMA_WORD string false true 1DRP.ALIGN_MCOMMA_DET string false true 1DRP.ALIGN_MCOMMA_VALUE string false true 283DRP.ALIGN_PCOMMA_DET string false true 1DRP.ALIGN_PCOMMA_VALUE string false true 17CDRP.CBCC_DATA_SOURCE_SEL string false true 1DRP.CHAN_BOND_KEEP_ALIGN string false true 0

X-Ref Target - Figure 1-24

図 1‐24 : hw_sio_gt オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 59UG912 (v2014.2) 2014 年 8 月 5 日

Page 60: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

DRP.CHAN_BOND_MAX_SKEW string false true 7DRP.CHAN_BOND_SEQ_1_1 string false true 17CDRP.CHAN_BOND_SEQ_1_2 string false true 100DRP.CHAN_BOND_SEQ_1_3 string false true 100DRP.CHAN_BOND_SEQ_1_4 string false true 100DRP.CHAN_BOND_SEQ_1_ENABLE string false true FDRP.CHAN_BOND_SEQ_2_1 string false true 100DRP.CHAN_BOND_SEQ_2_2 string false true 100DRP.CHAN_BOND_SEQ_2_3 string false true 100DRP.CHAN_BOND_SEQ_2_4 string false true 100DRP.CHAN_BOND_SEQ_2_ENABLE string false true FDRP.CHAN_BOND_SEQ_2_USE string false true 0DRP.CHAN_BOND_SEQ_LEN string false true 0DRP.CLK_CORRECT_USE string false true 0DRP.CLK_COR_KEEP_IDLE string false true 0DRP.CLK_COR_MAX_LAT string false true 13DRP.CLK_COR_MIN_LAT string false true 0FDRP.CLK_COR_PRECEDENCE string false true 1DRP.CLK_COR_REPEAT_WAIT string false true 00DRP.CLK_COR_SEQ_1_1 string false true 11CDRP.CLK_COR_SEQ_1_2 string false true 100DRP.CLK_COR_SEQ_1_3 string false true 100DRP.CLK_COR_SEQ_1_4 string false true 100DRP.CLK_COR_SEQ_1_ENABLE string false true FDRP.CLK_COR_SEQ_2_1 string false true 100DRP.CLK_COR_SEQ_2_2 string false true 100DRP.CLK_COR_SEQ_2_3 string false true 100DRP.CLK_COR_SEQ_2_4 string false true 100DRP.CLK_COR_SEQ_2_ENABLE string false true FDRP.CLK_COR_SEQ_2_USE string false true 0DRP.CLK_COR_SEQ_LEN string false true 0DRP.CPLL_CFG string false true BC07DCDRP.CPLL_FBDIV string false true 10DRP.CPLL_FBDIV_45 string false true 0DRP.CPLL_INIT_CFG string false true 00001EDRP.CPLL_LOCK_CFG string false true 01C0DRP.CPLL_REFCLK_DIV string false true 10DRP.DEC_MCOMMA_DETECT string false true 0DRP.DEC_PCOMMA_DETECT string false true 0DRP.DEC_VALID_COMMA_ONLY string false true 0DRP.DMONITOR_CFG string false true 000A01DRP.ES_CONTROL string false true 00DRP.ES_CONTROL_STATUS string false true 0DRP.ES_ERRDET_EN string false true 0DRP.ES_ERROR_COUNT string false true 0000DRP.ES_EYE_SCAN_EN string false true 1DRP.ES_HORZ_OFFSET string false true 000DRP.ES_PMA_CFG string false true 000DRP.ES_PRESCALE string false true 00DRP.ES_QUALIFIER string false true 00000000000000000000DRP.ES_QUAL_MASK string false true 00000000000000000000DRP.ES_RDATA string false true 00000000000000000000DRP.ES_SAMPLE_COUNT string false true 0000DRP.ES_SDATA string false true 00000000000000000000DRP.ES_SDATA_MASK string false true 00000000000000000000DRP.ES_UT_SIGN string false true 0DRP.ES_VERT_OFFSET string false true 000DRP.FTS_DESKEW_SEQ_ENABLE string false true FDRP.FTS_LANE_DESKEW_CFG string false true F

Vivado プロパティ  リファレンス japan.xilinx.com 60UG912 (v2014.2) 2014 年 8 月 5 日

Page 61: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

DRP.FTS_LANE_DESKEW_EN string false true 0DRP.GEARBOX_MODE string false true 0DRP.OUTREFCLK_SEL_INV string false true 3DRP.PCS_PCIE_EN string false true 0DRP.PCS_RSVD_ATTR string false true 000000000000DRP.PD_TRANS_TIME_FROM_P2 string false true 03CDRP.PD_TRANS_TIME_NONE_P2 string false true 3CDRP.PD_TRANS_TIME_TO_P2 string false true 64DRP.PMA_RSV string false true 001E7080DRP.PMA_RSV2 string false true 2070DRP.PMA_RSV2_BIT4 string false true 1DRP.PMA_RSV3 string false true 0DRP.PMA_RSV4 string false true 00000000DRP.RXBUFRESET_TIME string false true 01DRP.RXBUF_ADDR_MODE string false true 1DRP.RXBUF_EIDLE_HI_CNT string false true 8DRP.RXBUF_EIDLE_LO_CNT string false true 0DRP.RXBUF_EN string false true 1DRP.RXBUF_RESET_ON_CB_CHANGE string false true 1DRP.RXBUF_RESET_ON_COMMAALIGN string false true 0DRP.RXBUF_RESET_ON_EIDLE string false true 0DRP.RXBUF_RESET_ON_RATE_CHANGE string false true 1DRP.RXBUF_THRESH_OVFLW string false true 3DDRP.RXBUF_THRESH_OVRD string false true 0DRP.RXBUF_THRESH_UNDFLW string false true 04DRP.RXCDRFREQRESET_TIME string false true 01DRP.RXCDRPHRESET_TIME string false true 01DRP.RXCDR_CFG string false true 0B800023FF10200020DRP.RXCDR_FR_RESET_ON_EIDLE string false true 0DRP.RXCDR_HOLD_DURING_EIDLE string false true 0DRP.RXCDR_LOCK_CFG string false true 15DRP.RXCDR_PH_RESET_ON_EIDLE string false true 0DRP.RXDFELPMRESET_TIME string false true 0FDRP.RXDLY_CFG string false true 001FDRP.RXDLY_LCFG string false true 030DRP.RXDLY_TAP_CFG string false true 0000DRP.RXGEARBOX_EN string false true 0DRP.RXISCANRESET_TIME string false true 01DRP.RXLPM_HF_CFG string false true 00F0DRP.RXLPM_LF_CFG string false true 00F0DRP.RXOOB_CFG string false true 06DRP.RXOUT_DIV string false true 0DRP.RXPCSRESET_TIME string false true 01DRP.RXPHDLY_CFG string false true 084020DRP.RXPH_CFG string false true 000000DRP.RXPH_MONITOR_SEL string false true 00DRP.RXPMARESET_TIME string false true 03DRP.RXPRBS_ERR_LOOPBACK string false true 0DRP.RXSLIDE_AUTO_WAIT string false true 7DRP.RXSLIDE_MODE string false true 0DRP.RX_BIAS_CFG string false true 004DRP.RX_BUFFER_CFG string false true 00DRP.RX_CLK25_DIV string false true 04DRP.RX_CLKMUX_PD string false true 1DRP.RX_CM_SEL string false true 3DRP.RX_CM_TRIM string false true 4DRP.RX_DATA_WIDTH string false true 5DRP.RX_DDI_SEL string false true 00DRP.RX_DEBUG_CFG string false true 000

Vivado プロパティ  リファレンス japan.xilinx.com 61UG912 (v2014.2) 2014 年 8 月 5 日

Page 62: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

DRP.RX_DEFER_RESET_BUF_EN string false true 1DRP.RX_DFE_CTLE_STAGE1 string false true 8DRP.RX_DFE_CTLE_STAGE2 string false true 3DRP.RX_DFE_CTLE_STAGE3 string false true 0DRP.RX_DFE_GAIN_CFG string false true 020FEADRP.RX_DFE_H2_CFG string false true 000DRP.RX_DFE_H3_CFG string false true 040DRP.RX_DFE_H4_CFG string false true 0F0DRP.RX_DFE_H5_CFG string false true 0E0DRP.RX_DFE_KL_CFG string false true 00FEDRP.RX_DFE_KL_CFG2 string false true 3010D90CDRP.RX_DFE_LPM_CFG string false true 0954DRP.RX_DFE_LPM_HOLD_DURING_EIDLE string false true 0DRP.RX_DFE_UT_CFG string false true 11E00DRP.RX_DFE_VP_CFG string false true 03F03DRP.RX_DFE_XYD_CFG string false true 0000DRP.RX_DISPERR_SEQ_MATCH string false true 1DRP.RX_INT_DATAWIDTH string false true 1DRP.RX_OS_CFG string false true 0080DRP.RX_SIG_VALID_DLY string false true 09DRP.RX_XCLK_SEL string false true 0DRP.SAS_MAX_COM string false true 40DRP.SAS_MIN_COM string false true 24DRP.SATA_BURST_SEQ_LEN string false true FDRP.SATA_BURST_VAL string false true 4DRP.SATA_CPLL_CFG string false true 0DRP.SATA_EIDLE_VAL string false true 4DRP.SATA_MAX_BURST string false true 08DRP.SATA_MAX_INIT string false true 15DRP.SATA_MAX_WAKE string false true 07DRP.SATA_MIN_BURST string false true 04DRP.SATA_MIN_INIT string false true 0CDRP.SATA_MIN_WAKE string false true 04DRP.SHOW_REALIGN_COMMA string false true 1DRP.TERM_RCAL_CFG string false true 10DRP.TERM_RCAL_OVRD string false true 0DRP.TRANS_TIME_RATE string false true 0EDRP.TST_RSV string false true 00000000DRP.TXBUF_EN string false true 1DRP.TXBUF_RESET_ON_RATE_CHANGE string false true 0DRP.TXDLY_CFG string false true 001FDRP.TXDLY_LCFG string false true 030DRP.TXDLY_TAP_CFG string false true 0000DRP.TXGEARBOX_EN string false true 0DRP.TXOUT_DIV string false true 0DRP.TXPCSRESET_TIME string false true 01DRP.TXPHDLY_CFG string false true 084020DRP.TXPH_CFG string false true 0780DRP.TXPH_MONITOR_SEL string false true 00DRP.TXPMARESET_TIME string false true 01DRP.TX_CLK25_DIV string false true 04DRP.TX_CLKMUX_PD string false true 1DRP.TX_DATA_WIDTH string false true 5DRP.TX_DEEMPH0 string false true 00DRP.TX_DEEMPH1 string false true 00DRP.TX_DRIVE_MODE string false true 00DRP.TX_EIDLE_ASSERT_DELAY string false true 6DRP.TX_EIDLE_DEASSERT_DELAY string false true 4DRP.TX_INT_DATAWIDTH string false true 1

Vivado プロパティ  リファレンス japan.xilinx.com 62UG912 (v2014.2) 2014 年 8 月 5 日

Page 63: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

DRP.TX_LOOPBACK_DRIVE_HIZ string false true 0DRP.TX_MAINCURSOR_SEL string false true 0DRP.TX_MARGIN_FULL_0 string false true 4EDRP.TX_MARGIN_FULL_1 string false true 49DRP.TX_MARGIN_FULL_2 string false true 45DRP.TX_MARGIN_FULL_3 string false true 42DRP.TX_MARGIN_FULL_4 string false true 40DRP.TX_MARGIN_LOW_0 string false true 46DRP.TX_MARGIN_LOW_1 string false true 44DRP.TX_MARGIN_LOW_2 string false true 42DRP.TX_MARGIN_LOW_3 string false true 40DRP.TX_MARGIN_LOW_4 string false true 40DRP.TX_PREDRIVER_MODE string false true 0DRP.TX_QPI_STATUS_EN string false true 0DRP.TX_RXDETECT_CFG string false true 1832DRP.TX_RXDETECT_REF string false true 4DRP.TX_XCLK_SEL string false true 0DRP.UCODEER_CLR string false true 0ES_HORZ_MIN_MAX string false true 32GT_TYPE string true true 7 Series GTXLINE_RATE string false true 0.000LOGIC.DEBUG_CLOCKS string false true 0LOGIC.ERRBIT_COUNT string false true 000000000000LOGIC.ERR_INJECT_CTRL string false true 0LOGIC.FRAME_LEN string false true 0000LOGIC.GT_SOURCES_SYSCLK string false true 0LOGIC.IDLE_DETECTED string false true 0LOGIC.IFG_LEN string false true 00LOGIC.LINK string false true 0LOGIC.MAX_LINERATE string false true 0001DCD65000LOGIC.MAX_REFCLK_FREQ string false true 07735940LOGIC.MGT_COORDINATE string false true 0008LOGIC.MGT_ERRCNT_RESET_CTRL string false true 0LOGIC.MGT_ERRCNT_RESET_STAT string false true 0LOGIC.MGT_NUMBER string false true 0075LOGIC.MGT_RESET_CTRL string false true 0LOGIC.MGT_RESET_STAT string false true 0LOGIC.PROTOCOL_ENUM string false true 0000LOGIC.RXPAT_ID string false true 1LOGIC.RXRECCLK_FREQ_CNT string false true 0000LOGIC.RXRECCLK_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK2_FREQ_CNT string false true 0000LOGIC.RXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK_FREQ_CNT string false true 0000LOGIC.RXUSRCLK_FREQ_TUNE string false true 4000LOGIC.RXWORD_COUNT string false true 000000000000LOGIC.RX_DCM_LOCK string false true 1LOGIC.RX_DCM_RESET_CTRL string false true 0LOGIC.RX_DCM_RESET_STAT string false true 0LOGIC.RX_FRAMED string false true 0LOGIC.SILICON_VERSION string false true 0300LOGIC.TIMER string false true 009736E7B9BCLOGIC.TXOUTCLK_FREQ_CNT string false true 0000LOGIC.TXOUTCLK_FREQ_TUNE string false true 4000LOGIC.TXPAT_ID string false true 1LOGIC.TXUSRCLK2_FREQ_CNT string false true 0000LOGIC.TXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.TXUSRCLK_FREQ_CNT string false true 0000LOGIC.TXUSRCLK_FREQ_TUNE string false true 4000

Vivado プロパティ  リファレンス japan.xilinx.com 63UG912 (v2014.2) 2014 年 8 月 5 日

Page 64: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

LOGIC.TX_DCM_LOCK string false true 1LOGIC.TX_DCM_RESET_CTRL string false true 0LOGIC.TX_DCM_RESET_STAT string false true 1LOGIC.TX_FRAMED string false true 0LOOPBACK enum false true NoneNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8PARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERTPLL_STATUS string false true LOCKEDPORT.CFGRESET string false true 0PORT.CLKRSVD string false true 0PORT.CPLLFBCLKLOST string false true 0PORT.CPLLLOCK string false true 1PORT.CPLLLOCKDETCLK string false true 0PORT.CPLLLOCKEN string false true 1PORT.CPLLPD string false true 0PORT.CPLLREFCLKLOST string false true 0PORT.CPLLREFCLKSEL string false true 1PORT.CPLLRESET string false true 0PORT.DMONITOROUT string false true 1FPORT.EYESCANDATAERROR string false true 0PORT.EYESCANMODE string false true 0PORT.EYESCANRESET string false true 0PORT.EYESCANTRIGGER string false true 0PORT.GTREFCLKMONITOR string false true 1PORT.GTRESETSEL string false true 0PORT.GTRSVD string false true 0000PORT.GTRXRESET string false true 0PORT.GTTXRESET string false true 0PORT.LOOPBACK string false true 0PORT.PCSRSVDIN string false true 0000PORT.PCSRSVDIN2 string false true 00PORT.PCSRSVDOUT string false true 01F3PORT.PHYSTATUS string false true 1PORT.PMARSVDIN string false true 00PORT.PMARSVDIN2 string false true 00PORT.RESETOVRD string false true 0PORT.RX8B10BEN string false true 0PORT.RXBUFRESET string false true 0PORT.RXBUFSTATUS string false true 0PORT.RXBYTEISALIGNED string false true 0PORT.RXBYTEREALIGN string false true 0PORT.RXCDRFREQRESET string false true 0PORT.RXCDRHOLD string false true 0PORT.RXCDRLOCK string false true 0PORT.RXCDROVRDEN string false true 0PORT.RXCDRRESET string false true 0PORT.RXCDRRESETRSV string false true 0PORT.RXCHANBONDSEQ string false true 0PORT.RXCHANISALIGNED string false true 0PORT.RXCHANREALIGN string false true 0PORT.RXCHARISCOMMA string false true 00PORT.RXCHARISK string false true 00PORT.RXCHBONDEN string false true 0PORT.RXCHBONDI string false true 10PORT.RXCHBONDLEVEL string false true 0PORT.RXCHBONDMASTER string false true 0PORT.RXCHBONDO string false true 00

Vivado プロパティ  リファレンス japan.xilinx.com 64UG912 (v2014.2) 2014 年 8 月 5 日

Page 65: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

PORT.RXCHBONDSLAVE string false true 0PORT.RXCLKCORCNT string false true 0PORT.RXCOMINITDET string false true 0PORT.RXCOMMADET string false true 0PORT.RXCOMMADETEN string false true 0PORT.RXCOMSASDET string false true 0PORT.RXCOMWAKEDET string false true 0PORT.RXDATAVALID string false true 0PORT.RXDDIEN string false true 0PORT.RXDFEAGCHOLD string false true 0PORT.RXDFEAGCOVRDEN string false true 0PORT.RXDFECM1EN string false true 0PORT.RXDFELFHOLD string false true 0PORT.RXDFELFOVRDEN string false true 0PORT.RXDFELPMRESET string false true 0PORT.RXDFETAP2HOLD string false true 0PORT.RXDFETAP2OVRDEN string false true 0PORT.RXDFETAP3HOLD string false true 0PORT.RXDFETAP3OVRDEN string false true 0PORT.RXDFETAP4HOLD string false true 0PORT.RXDFETAP4OVRDEN string false true 0PORT.RXDFETAP5HOLD string false true 0PORT.RXDFETAP5OVRDEN string false true 0PORT.RXDFEUTHOLD string false true 0PORT.RXDFEUTOVRDEN string false true 0PORT.RXDFEVPHOLD string false true 0PORT.RXDFEVPOVRDEN string false true 0PORT.RXDFEVSEN string false true 0PORT.RXDFEXYDEN string false true 0PORT.RXDFEXYDHOLD string false true 0PORT.RXDFEXYDOVRDEN string false true 0PORT.RXDISPERR string false true 00PORT.RXDLYBYPASS string false true 1PORT.RXDLYEN string false true 0PORT.RXDLYOVRDEN string false true 0PORT.RXDLYSRESET string false true 0PORT.RXDLYSRESETDONE string false true 0PORT.RXELECIDLE string false true 1PORT.RXELECIDLEMODE string false true 0PORT.RXGEARBOXSLIP string false true 0PORT.RXHEADER string false true 0PORT.RXHEADERVALID string false true 0PORT.RXLPMEN string false true 0PORT.RXLPMHFHOLD string false true 0PORT.RXLPMHFOVRDEN string false true 0PORT.RXLPMLFHOLD string false true 0PORT.RXLPMLFKLOVRDEN string false true 0PORT.RXMCOMMAALIGNEN string false true 0PORT.RXMONITOROUT string false true 7FPORT.RXMONITORSEL string false true 0PORT.RXNOTINTABLE string false true FFPORT.RXOOBRESET string false true 0PORT.RXOSHOLD string false true 0PORT.RXOSOVRDEN string false true 0PORT.RXOUTCLKFABRIC string false true 0PORT.RXOUTCLKPCS string false true 0PORT.RXOUTCLKSEL string false true 1PORT.RXPCOMMAALIGNEN string false true 0PORT.RXPCSRESET string false true 0

Vivado プロパティ  リファレンス japan.xilinx.com 65UG912 (v2014.2) 2014 年 8 月 5 日

Page 66: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

PORT.RXPD string false true 0PORT.RXPHALIGN string false true 0PORT.RXPHALIGNDONE string false true 0PORT.RXPHALIGNEN string false true 0PORT.RXPHDLYPD string false true 0PORT.RXPHDLYRESET string false true 0PORT.RXPHMONITOR string false true 00PORT.RXPHOVRDEN string false true 0PORT.RXPHSLIPMONITOR string false true 04PORT.RXPMARESET string false true 0PORT.RXPOLARITY string false true 0PORT.RXPRBSCNTRESET string false true 0PORT.RXPRBSERR string false true 0PORT.RXPRBSSEL string false true 0PORT.RXQPIEN string false true 0PORT.RXQPISENN string false true 0PORT.RXQPISENP string false true 0PORT.RXRATE string false true 0PORT.RXRATEDONE string false true 0PORT.RXRESETDONE string false true 0PORT.RXSLIDE string false true 0PORT.RXSTARTOFSEQ string false true 0PORT.RXSTATUS string false true 0PORT.RXSYSCLKSEL string false true 3PORT.RXUSERRDY string false true 1PORT.RXVALID string false true 0PORT.SETERRSTATUS string false true 0PORT.TSTIN string false true FFFFFPORT.TSTOUT string false true 000PORT.TX8B10BBYPASS string false true FFPORT.TX8B10BEN string false true 0PORT.TXBUFDIFFCTRL string false true 4PORT.TXBUFSTATUS string false true 0PORT.TXCHARDISPMODE string false true 00PORT.TXCHARDISPVAL string false true 00PORT.TXCHARISK string false true 00PORT.TXCOMFINISH string false true 0PORT.TXCOMINIT string false true 0PORT.TXCOMSAS string false true 0PORT.TXCOMWAKE string false true 0PORT.TXDEEMPH string false true 0PORT.TXDETECTRX string false true 0PORT.TXDIFFCTRL string false true CPORT.TXDIFFPD string false true 0PORT.TXDLYBYPASS string false true 1PORT.TXDLYEN string false true 0PORT.TXDLYHOLD string false true 0PORT.TXDLYOVRDEN string false true 0PORT.TXDLYSRESET string false true 0PORT.TXDLYSRESETDONE string false true 0PORT.TXDLYUPDOWN string false true 0PORT.TXELECIDLE string false true 0PORT.TXGEARBOXREADY string false true 0PORT.TXHEADER string false true 0PORT.TXINHIBIT string false true 0PORT.TXMAINCURSOR string false true 00PORT.TXMARGIN string false true 0PORT.TXOUTCLKFABRIC string false true 1PORT.TXOUTCLKPCS string false true 0

Vivado プロパティ  リファレンス japan.xilinx.com 66UG912 (v2014.2) 2014 年 8 月 5 日

Page 67: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

PORT.TXOUTCLKSEL string false true 2PORT.TXPCSRESET string false true 0PORT.TXPD string false true 0PORT.TXPDELECIDLEMODE string false true 0PORT.TXPHALIGN string false true 0PORT.TXPHALIGNDONE string false true 0PORT.TXPHALIGNEN string false true 0PORT.TXPHDLYPD string false true 0PORT.TXPHDLYRESET string false true 0PORT.TXPHDLYTSTCLK string false true 0PORT.TXPHINIT string false true 0PORT.TXPHINITDONE string false true 0PORT.TXPHOVRDEN string false true 0PORT.TXPISOPD string false true 0PORT.TXPMARESET string false true 0PORT.TXPOLARITY string false true 0PORT.TXPOSTCURSOR string false true 03PORT.TXPOSTCURSORINV string false true 0PORT.TXPRBSFORCEERR string false true 0PORT.TXPRBSSEL string false true 0PORT.TXPRECURSOR string false true 07PORT.TXPRECURSORINV string false true 0PORT.TXQPIBIASEN string false true 0PORT.TXQPISENN string false true 0PORT.TXQPISENP string false true 0PORT.TXQPISTRONGPDOWN string false true 0PORT.TXQPIWEAKPUP string false true 0PORT.TXRATE string false true 0PORT.TXRATEDONE string false true 0PORT.TXRESETDONE string false true 0PORT.TXSEQUENCE string false true 00PORT.TXSTARTSEQ string false true 0PORT.TXSWING string false true 0PORT.TXSYSCLKSEL string false true 3PORT.TXUSERRDY string false true 1RXDFEENABLED enum false true 1RXOUTCLKSEL enum false true RXOUTCLKPCSRXOUT_DIV enum false true 1RXPLL enum false true QPLLRXRATE enum false true Use RX_OUT_DIVRXTERM enum false true 900 mVRXTERMMODE enum false true ProgrammableRXUSRCLK2_FREQ string false true 0.048828RXUSRCLK_FREQ string false true 0.048828RX_BER string false true infRX_DATA_WIDTH enum false true 40RX_DFE_CTLE enum false true RX_INTERNAL_DATAPATH enum false true 4-byteRX_PATTERN enum false true PRBS 7-bitRX_RECEIVED_BIT_COUNT string false true 0STATUS string false true NO LINKSYSCLK_FREQ string false true 100.000000TXDIFFSWING enum false true 1.018 V (1100)TXOUTCLKSEL enum false true TXOUTCLKPMATXOUT_DIV enum false true 1TXPLL enum false true QPLLTXPOST enum false true 0.68 dB (00011)TXPRE enum false true 1.67 dB (00111)TXRATE enum false true Use TXOUT_DIV

Vivado プロパティ  リファレンス japan.xilinx.com 67UG912 (v2014.2) 2014 年 8 月 5 日

Page 68: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

TXUSRCLK2_FREQ string false true 0.048828TXUSRCLK_FREQ string false true 0.048828TX_DATA_WIDTH enum false true 40TX_INTERNAL_DATAPATH enum false true 4-byteTX_PATTERN enum false true PRBS 7-bit

hw_sio_gt オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_gts] 0]

HW_SIO_GTGROUP

説明 

GT グループはハード ウェア デバイス上の GT IO バンクに関連しているので、 使用可能な GT ピンおよびバンクはターゲッ トのザイ リ ンクス FPGA によって決ま り ます。たとえば、Kintex-7 XC7K325 パーツには 4 つのグループがあり、 それぞれに 4 つの差動 GT ピンのペアが含まれます。各 GT ピンには、独自のレシーバー (hw_sio_rx) と ト ランスミ ッ ター (hw_sio_tx) が含まれます。 GT グループには、 区画ごとに共有 PLL (クワ ッ ド PLL) も 1 つ含まれます。 GTグループは IBERT デバッグ コアで定義されており、 IBERT を RTL デザインに追加する と きに多数の設定を使用してカスタマイズできます。詳細は、『LogiCORE IP Integrated Bit Error Ratio Tester (IBERT) for 7 Series GTX Transceivers v3.0製品ガイ ド』 (PG132) を参照して ください。

関連オブジェク ト  

GT グループは、 hw_device、 hw_sio_ibert、 hw_sio_gt、 hw_sio_common、 hw_sio_pll、 hw_sio_tx、 hw_sio_rx、 およびhw_sio_link オブジェク トに接続されます。

これらのグループに接続された GT オブジェク トは、 次のよ うに取得できます。

get_hw_sio_gtgroups -of [get_hw_sio_gts *MGT_X0Y9]

プロパティ

report_property コマンドを使用する と、hw_sio_gtgroup オブジェク トに割り当てられたプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマン ド リ フ ァ レンス ガイ ド』 (UG835) を参照して ください。 次はhw_sio_gtgroup オブジェク トに割り当てられたプロパティ とその値の例です。

プロパティ タイプ 読み出し専用 表示可能 値CLASS string true true hw_sio_gtgroupDISPLAY_NAME string true true Quad_117GT_TYPE string true true 7 Series GTXNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117PARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT

特定の hw_sio_gtgroup のプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_gtgroups] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 68UG912 (v2014.2) 2014 年 8 月 5 日

Page 69: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_SIO_IBERT

説明 

カスタマイズ可能なザイ リ ンクス FPGA 用の LogiCORE™ IP Integrated Bit Error Ratio Tester (IBERT) コアは、ギガビット ト ランシーバー (GT) を評価および監視するために設計されています。 IBERT コアはインシステム シ リ アル I/O の検証およびデバッグをイネーブルにし、 FPGA ベース システムの高速シ リ アル I/O リ ンクを計測および 適化できるよ うにします。 詳細は、 『LogiCORE IP Integrated Bit Error Ratio Tester (IBERT) for 7 Series GTX Transceivers v3.0 製品ガイ ド』 (PG132) を参照して ください。

IBERT デバッグ コアを使用する と、 次のよ うなデバイスの主な GT 機能を設定および制御できます。

• TX プリエンファシスおよびポス トエンファシス

• TX 差動振幅

• RX イコラ イゼーシ ョ ン

• 判定帰還等化 (DFE)

• 位相ロッ ク ループ (PLL) の分周設定

IBERT コアは、単純なクロ ッ クや接続の問題から複雑なマージン解析およびチャネル 適化の問題まで、 さまざまなインシステム デバッグおよび検証の問題を解決するために使用できます。

関連オブジェク ト  

70 ページの図 1-25 に示すよ う に、 SIO IBERT デバ ッ グ コ アは、 hw_server、 hw_target、 hw_device、 hw_sio_gt、hw_sio_common、 hw_sio_pll、 hw_sio_tx、 hw_sio_rx、 または hw_sio_link オブジェク トに接続されます。

接続されたオブジェク トの IBERT デバッグ コアは、 次のよ うに取得できます。

get_hw_sio_iberts -of [get_hw_sio_plls *MGT_X0Y8/CPLL_0]

次を使用する と、 特定の IBERT コアに接続されたオブジェク ト も取得できます。

get_hw_sio_commons -of [get_hw_sio_iberts]

Vivado プロパティ  リファレンス japan.xilinx.com 69UG912 (v2014.2) 2014 年 8 月 5 日

Page 70: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ  

report_property コマンドを使用する と、特定の hw_sio_ibert に割り当てられた実際のプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。

次は hw_sio_ibert オブジェク トに割り当てられたプロパティ とその値の例です。

Property Type Read-only Visible ValueCLASS string true true hw_sio_ibertCORE_REFRESH_RATE_MS int false true 0DISPLAY_NAME string true true IBERTNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERTUSER_REGISTER int true true 1

特定の hw_sio_ibert のプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_iberts] 0]

X-Ref Target - Figure 1-25

図 1‐25 : hw_sio_ibert オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 70UG912 (v2014.2) 2014 年 8 月 5 日

Page 71: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_SIO_PLL

説明 

ギガビッ ト ト ランシーバー (GT) を含むザイ リ ンクス デバイスの場合、 シ リ アル ト ランシーバー チャネルそれぞれに Channel PLL (CPLL) という リ ング PLL (位相ロッ ク ループ) が含まれます。ザイ リ ンクス UltraScale および 7 シ リーズ FPGA では、 GTX の各区画にクワ ッ ド PLL (QPLL) と呼ばれる追加の共有 PLL があ り ます。 この QPLL は、 高速、高パフォーマンス、 低消費電力のマルチレーン アプリ ケーシ ョ ンをサポートするための共有 LC PLL です。

関連オブジェク ト

hw_sio_pll オブジェク トは、 hw_server、 hw_target、 hw_device、 hw_sio_ibert、 hw_sio_gt、 または hw_sio_common に接続されます。

接続されたオブジェク トの PLL は次のよ うに取得できます。

get_hw_sio_plls -of [get_hw_sio_commons]

次を使用する と、 PLL に接続されたオブジェク ト も取得できます。

get_hw_sio_iberts -of [get_hw_sio_plls *MGT_X0Y8/CPLL_0]

プロパティ

report_property コマンドを使用する と、 特定の hw_sio_pll に割り当てられたプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照して ください。 次は、 QPLL タイプの hw_sio_pll オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_sio_pllDISPLAY_NAME string true true COMMON_X0Y2/QPLL_0DRP.QPLL_CFG string false true 06801C1DRP.QPLL_CLKOUT_CFG string false true 0DRP.QPLL_COARSE_FREQ_OVRD string false true 10DRP.QPLL_COARSE_FREQ_OVRD_EN string false true 0DRP.QPLL_CP string false true 01FDRP.QPLL_CP_MONITOR_EN string false true 0DRP.QPLL_DMONITOR_SEL string false true 0DRP.QPLL_FBDIV string false true 0E0DRP.QPLL_FBDIV_MONITOR_EN string false true 1DRP.QPLL_FBDIV_RATIO string false true 1DRP.QPLL_INIT_CFG string false true 000028DRP.QPLL_LOCK_CFG string false true 21E8DRP.QPLL_LOWER_BAND string false true 1DRP.QPLL_LPF string false true FDRP.QPLL_REFCLK_DIV string false true 10LOGIC.QPLLRESET_CTRL string false true 0LOGIC.QPLLRESET_STAT string false true 0LOGIC.QPLL_LOCK string false true 0NAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2/QPLL_0PARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2PORT.QPLLDMONITOR string false true EC

Vivado プロパティ  リファレンス japan.xilinx.com 71UG912 (v2014.2) 2014 年 8 月 5 日

Page 72: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

PORT.QPLLFBCLKLOST string false true 0PORT.QPLLLOCK string false true 1PORT.QPLLLOCKEN string false true 1PORT.QPLLOUTRESET string false true 0PORT.QPLLPD string false true 0PORT.QPLLREFCLKLOST string false true 0PORT.QPLLREFCLKSEL string false true 1PORT.QPLLRESET string false true 0PORT.QPLLRSVD1 string false true 0000PORT.QPLLRSVD2 string false true 1FQPLLREFCLKSEL enum false true GTREFCLK0QPLL_N_DIVIDER enum false true 64QPLL_REFCLK_DIV enum false true 1STATUS string false true LOCKED

hw_sio_pll オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_gts] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 72UG912 (v2014.2) 2014 年 8 月 5 日

Page 73: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_SIO_RX

説明 

ハード ウェア デバイス上では、 各 GT に PCS および PMA で構成される独立したレシーバー (hw_sio_rx) が含まれます。 高速シ リ アル データは、 ボード上の ト レースから GTX/GTH ト ランシーバー RX の PMA、 PCS、 そして 後にFPGA ロジッ クに送信されます。

関連オブジェク ト  

hw_sio_rx オブジェク トは、 hw_server、 hw_target、 hw_device、 hw_sio_ibert、 hw_sio_gt、 または hw_sio_link に接続されます。

接続されたオブジェク トの hw_sio_rx オブジェク トは、 次のよ うに取得できます。

get_hw_sio_rxs -of [get_hw_sio_gts]

次を使用する と、 特定の hw_sio_rx に接続されたオブジェク ト も取得できます。

get_hw_sio_links -of [get_hw_sio_rxs]

X-Ref Target - Figure 1-26

図 1‐26 :ハードウェア SIO RX および TX オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 73UG912 (v2014.2) 2014 年 8 月 5 日

Page 74: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ

report_property コマンドを使用する と、特定の hw_sio_rx オブジェク トに割り当てられたプロパティをレポートすることができます。詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。次は、hw_sio_rx オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_sio_rxDISPLAY_NAME string true true MGT_X0Y8/RXDRP.ES_CONTROL string false true 00DRP.ES_CONTROL_STATUS string false true 0DRP.ES_ERRDET_EN string false true 0DRP.ES_ERROR_COUNT string false true 0000DRP.ES_EYE_SCAN_EN string false true 1DRP.ES_HORZ_OFFSET string false true 000DRP.ES_PMA_CFG string false true 000DRP.ES_PRESCALE string false true 00DRP.ES_QUALIFIER string false true 00000000000000000000DRP.ES_QUAL_MASK string false true 00000000000000000000DRP.ES_RDATA string false true 00000000000000000000DRP.ES_SAMPLE_COUNT string false true 0000DRP.ES_SDATA string false true 00000000000000000000DRP.ES_SDATA_MASK string false true 00000000000000000000DRP.ES_UT_SIGN string false true 0DRP.ES_VERT_OFFSET string false true 000DRP.FTS_DESKEW_SEQ_ENABLE string false true FDRP.FTS_LANE_DESKEW_CFG string false true FDRP.FTS_LANE_DESKEW_EN string false true 0DRP.RXBUFRESET_TIME string false true 01DRP.RXBUF_ADDR_MODE string false true 1DRP.RXBUF_EIDLE_HI_CNT string false true 8DRP.RXBUF_EIDLE_LO_CNT string false true 0DRP.RXBUF_EN string false true 1DRP.RXBUF_RESET_ON_CB_CHANGE string false true 1DRP.RXBUF_RESET_ON_COMMAALIGN string false true 0DRP.RXBUF_RESET_ON_EIDLE string false true 0DRP.RXBUF_RESET_ON_RATE_CHANGE string false true 1DRP.RXBUF_THRESH_OVFLW string false true 3DDRP.RXBUF_THRESH_OVRD string false true 0DRP.RXBUF_THRESH_UNDFLW string false true 04DRP.RXCDRFREQRESET_TIME string false true 01DRP.RXCDRPHRESET_TIME string false true 01DRP.RXCDR_CFG string false true 0B800023FF10200020DRP.RXCDR_FR_RESET_ON_EIDLE string false true 0DRP.RXCDR_HOLD_DURING_EIDLE string false true 0DRP.RXCDR_LOCK_CFG string false true 15DRP.RXCDR_PH_RESET_ON_EIDLE string false true 0DRP.RXDFELPMRESET_TIME string false true 0FDRP.RXDLY_CFG string false true 001FDRP.RXDLY_LCFG string false true 030DRP.RXDLY_TAP_CFG string false true 0000DRP.RXGEARBOX_EN string false true 0DRP.RXISCANRESET_TIME string false true 01DRP.RXLPM_HF_CFG string false true 00F0DRP.RXLPM_LF_CFG string false true 00F0DRP.RXOOB_CFG string false true 06DRP.RXOUT_DIV string false true 0DRP.RXPCSRESET_TIME string false true 01

Vivado プロパティ  リファレンス japan.xilinx.com 74UG912 (v2014.2) 2014 年 8 月 5 日

Page 75: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

DRP.RXPHDLY_CFG string false true 084020DRP.RXPH_CFG string false true 000000DRP.RXPH_MONITOR_SEL string false true 00DRP.RXPMARESET_TIME string false true 03DRP.RXPRBS_ERR_LOOPBACK string false true 0DRP.RXSLIDE_AUTO_WAIT string false true 7DRP.RXSLIDE_MODE string false true 0DRP.RX_BIAS_CFG string false true 004DRP.RX_BUFFER_CFG string false true 00DRP.RX_CLK25_DIV string false true 04DRP.RX_CLKMUX_PD string false true 1DRP.RX_CM_SEL string false true 3DRP.RX_CM_TRIM string false true 4DRP.RX_DATA_WIDTH string false true 5DRP.RX_DDI_SEL string false true 00DRP.RX_DEBUG_CFG string false true 000DRP.RX_DEFER_RESET_BUF_EN string false true 1DRP.RX_DFE_CTLE_STAGE1 string false true 8DRP.RX_DFE_CTLE_STAGE2 string false true 3DRP.RX_DFE_CTLE_STAGE3 string false true 0DRP.RX_DFE_GAIN_CFG string false true 020FEADRP.RX_DFE_H2_CFG string false true 000DRP.RX_DFE_H3_CFG string false true 040DRP.RX_DFE_H4_CFG string false true 0F0DRP.RX_DFE_H5_CFG string false true 0E0DRP.RX_DFE_KL_CFG2 string false true 3010D90CDRP.RX_DFE_KL_CFG string false true 00FEDRP.RX_DFE_LPM_CFG string false true 0954DRP.RX_DFE_LPM_HOLD_DURING_EIDLE string false true 0DRP.RX_DFE_UT_CFG string false true 11E00DRP.RX_DFE_VP_CFG string false true 03F03DRP.RX_DFE_XYD_CFG string false true 0000DRP.RX_DISPERR_SEQ_MATCH string false true 1DRP.RX_INT_DATAWIDTH string false true 1DRP.RX_OS_CFG string false true 0080DRP.RX_SIG_VALID_DLY string false true 09DRP.RX_XCLK_SEL string false true 0DRP.TXBUF_RESET_ON_RATE_CHANGE string false true 0DRP.TXPCSRESET_TIME string false true 01DRP.TXPMARESET_TIME string false true 01DRP.TX_LOOPBACK_DRIVE_HIZ string false true 0DRP.TX_RXDETECT_CFG string false true 1832DRP.TX_RXDETECT_REF string false true 4ES_HORZ_MIN_MAX string false true 32LINE_RATE string false true 0.000LOGIC.ERRBIT_COUNT string false true 000000000000LOGIC.GT_SOURCES_SYSCLK string false true 0LOGIC.LINK string false true 0LOGIC.MGT_ERRCNT_RESET_CTRL string false true 0LOGIC.MGT_ERRCNT_RESET_STAT string false true 0LOGIC.MGT_RESET_CTRL string false true 0LOGIC.MGT_RESET_STAT string false true 0LOGIC.RXPAT_ID string false true 1LOGIC.RXRECCLK_FREQ_CNT string false true 0000LOGIC.RXRECCLK_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK2_FREQ_CNT string false true 0000LOGIC.RXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.RXUSRCLK_FREQ_CNT string false true 0000LOGIC.RXUSRCLK_FREQ_TUNE string false true 4000

Vivado プロパティ  リファレンス japan.xilinx.com 75UG912 (v2014.2) 2014 年 8 月 5 日

Page 76: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

LOGIC.RXWORD_COUNT string false true 000000000000LOGIC.RX_DCM_LOCK string false true 1LOGIC.RX_DCM_RESET_CTRL string false true 0LOGIC.RX_DCM_RESET_STAT string false true 0LOGIC.RX_FRAMED string false true 0LOGIC.TX_DCM_RESET_CTRL string false true 0LOGIC.TX_DCM_RESET_STAT string false true 1LOOPBACK enum false true Near-End PCSNAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8/RXPARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8PORT.CFGRESET string false true 0PORT.CPLLRESET string false true 0PORT.EYESCANDATAERROR string false true 0PORT.EYESCANMODE string false true 0PORT.EYESCANRESET string false true 0PORT.EYESCANTRIGGER string false true 0PORT.GTRESETSEL string false true 0PORT.GTRXRESET string false true 0PORT.GTTXRESET string false true 0PORT.LOOPBACK string false true 1PORT.RESETOVRD string false true 0PORT.RX8B10BEN string false true 0PORT.RXBUFRESET string false true 0PORT.RXBUFSTATUS string false true 0PORT.RXBYTEISALIGNED string false true 0PORT.RXBYTEREALIGN string false true 0PORT.RXCDRFREQRESET string false true 0PORT.RXCDRHOLD string false true 0PORT.RXCDRLOCK string false true 0PORT.RXCDROVRDEN string false true 0PORT.RXCDRRESET string false true 0PORT.RXCDRRESETRSV string false true 0PORT.RXCHANBONDSEQ string false true 0PORT.RXCHANISALIGNED string false true 0PORT.RXCHANREALIGN string false true 0PORT.RXCHARISCOMMA string false true 00PORT.RXCHARISK string false true 00PORT.RXCHBONDEN string false true 0PORT.RXCHBONDI string false true 10PORT.RXCHBONDLEVEL string false true 0PORT.RXCHBONDMASTER string false true 0PORT.RXCHBONDO string false true 00PORT.RXCHBONDSLAVE string false true 0PORT.RXCLKCORCNT string false true 0PORT.RXCOMINITDET string false true 0PORT.RXCOMMADET string false true 0PORT.RXCOMMADETEN string false true 0PORT.RXCOMSASDET string false true 0PORT.RXCOMWAKEDET string false true 0PORT.RXDATAVALID string false true 0PORT.RXDDIEN string false true 0PORT.RXDFEAGCHOLD string false true 0PORT.RXDFEAGCOVRDEN string false true 0PORT.RXDFECM1EN string false true 0PORT.RXDFELFHOLD string false true 0PORT.RXDFELFOVRDEN string false true 0PORT.RXDFELPMRESET string false true 0

Vivado プロパティ  リファレンス japan.xilinx.com 76UG912 (v2014.2) 2014 年 8 月 5 日

Page 77: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

PORT.RXDFETAP2HOLD string false true 0PORT.RXDFETAP2OVRDEN string false true 0PORT.RXDFETAP3HOLD string false true 0PORT.RXDFETAP3OVRDEN string false true 0PORT.RXDFETAP4HOLD string false true 0PORT.RXDFETAP4OVRDEN string false true 0PORT.RXDFETAP5HOLD string false true 0PORT.RXDFETAP5OVRDEN string false true 0PORT.RXDFEUTHOLD string false true 0PORT.RXDFEUTOVRDEN string false true 0PORT.RXDFEVPHOLD string false true 0PORT.RXDFEVPOVRDEN string false true 0PORT.RXDFEVSEN string false true 0PORT.RXDFEXYDEN string false true 0PORT.RXDFEXYDHOLD string false true 0PORT.RXDFEXYDOVRDEN string false true 0PORT.RXDISPERR string false true 00PORT.RXDLYBYPASS string false true 1PORT.RXDLYEN string false true 0PORT.RXDLYOVRDEN string false true 0PORT.RXDLYSRESET string false true 0PORT.RXDLYSRESETDONE string false true 0PORT.RXELECIDLE string false true 1PORT.RXELECIDLEMODE string false true 0PORT.RXGEARBOXSLIP string false true 0PORT.RXHEADER string false true 0PORT.RXHEADERVALID string false true 0PORT.RXLPMEN string false true 0PORT.RXLPMHFHOLD string false true 0PORT.RXLPMHFOVRDEN string false true 0PORT.RXLPMLFHOLD string false true 0PORT.RXLPMLFKLOVRDEN string false true 0PORT.RXMCOMMAALIGNEN string false true 0PORT.RXMONITOROUT string false true 7FPORT.RXMONITORSEL string false true 0PORT.RXNOTINTABLE string false true FFPORT.RXOOBRESET string false true 0PORT.RXOSHOLD string false true 0PORT.RXOSOVRDEN string false true 0PORT.RXOUTCLKFABRIC string false true 1PORT.RXOUTCLKPCS string false true 0PORT.RXOUTCLKSEL string false true 1PORT.RXPCOMMAALIGNEN string false true 0PORT.RXPCSRESET string false true 0PORT.RXPD string false true 0PORT.RXPHALIGN string false true 0PORT.RXPHALIGNDONE string false true 0PORT.RXPHALIGNEN string false true 0PORT.RXPHDLYPD string false true 0PORT.RXPHDLYRESET string false true 0PORT.RXPHMONITOR string false true 00PORT.RXPHOVRDEN string false true 0PORT.RXPHSLIPMONITOR string false true 04PORT.RXPMARESET string false true 0PORT.RXPOLARITY string false true 0PORT.RXPRBSCNTRESET string false true 0PORT.RXPRBSERR string false true 0PORT.RXPRBSSEL string false true 0PORT.RXQPIEN string false true 0

Vivado プロパティ  リファレンス japan.xilinx.com 77UG912 (v2014.2) 2014 年 8 月 5 日

Page 78: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

PORT.RXQPISENN string false true 0PORT.RXQPISENP string false true 0PORT.RXRATE string false true 0PORT.RXRATEDONE string false true 0PORT.RXRESETDONE string false true 0PORT.RXSLIDE string false true 0PORT.RXSTARTOFSEQ string false true 0PORT.RXSTATUS string false true 0PORT.RXSYSCLKSEL string false true 3PORT.RXUSERRDY string false true 1PORT.RXVALID string false true 0PORT.TXDETECTRX string false true 0PORT.TXDLYSRESET string false true 0PORT.TXDLYSRESETDONE string false true 0PORT.TXPCSRESET string false true 0PORT.TXPHDLYRESET string false true 0PORT.TXPMARESET string false true 0PORT.TXRESETDONE string false true 0RXDFEENABLED enum false true 1RXOUTCLKSEL enum false true RXOUTCLKPCSRXOUT_DIV enum false true 1RXPLL enum false true QPLLRXRATE enum false true Use RX_OUT_DIVRXTERM enum false true 900 mVRXTERMMODE enum false true ProgrammableRXUSRCLK2_FREQ string false true 0.048828RXUSRCLK_FREQ string false true 0.048828RX_BER string false true infRX_DATA_WIDTH enum false true 40RX_DFE_CTLE enum false true RX_INTERNAL_DATAPATH enum false true 4-byteRX_PATTERN enum false true PRBS 7-bitRX_PLL string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2/QPLL_0RX_RECEIVED_BIT_COUNT string false true 0STATUS string false true NO LINK

hw_sio_rx オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_rxs] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 78UG912 (v2014.2) 2014 年 8 月 5 日

Page 79: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_SIO_TX

説明 

ハード ウェア デバイス上では、 各 GT に PCS および PMA で構成される独立した ト ランス ミ ッ ター (hw_sio_tx) が含まれます。 パラレル データは、 デバイス ロジッ クから FPGA TX インターフェイスに送信され、 PCS および PMA を介して TX ド ラ イバーから高速シ リ アル データ と して出力されます。

関連オブジェク ト

73 ページの図 1-26 は、 hw_sio_tx オブジェ ク ト と その他のハード ウ ェア オブジェ ク ト の関係を示しています。hw_sio_tx オブジェク トは、 hw_server、 hw_target、 hw_device、 hw_sio_ibert、 hw_sio_gt、 または hw_sio_link に接続されます。

接続されたオブジェク トの hw_sio_tx オブジェク トは、 次のよ うに取得できます。

get_hw_sio_txs -of [get_hw_sio_gts]

次を使用する と、 特定の hw_sio_tx に接続されたオブジェク ト も取得できます。

get_hw_sio_links -of [get_hw_sio_txs]

プロパティ

report_property コマンドを使用する と、特定の hw_sio_tx オブジェク トに割り当てられたプロパティをレポートすることができます。詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。次は、hw_sio_tx オブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_sio_txDISPLAY_NAME string true true MGT_X0Y8/TXDRP.TXBUF_EN string false true 1DRP.TXBUF_RESET_ON_RATE_CHANGE string false true 0DRP.TXDLY_CFG string false true 001FDRP.TXDLY_LCFG string false true 030DRP.TXDLY_TAP_CFG string false true 0000DRP.TXGEARBOX_EN string false true 0DRP.TXOUT_DIV string false true 0DRP.TXPCSRESET_TIME string false true 01DRP.TXPHDLY_CFG string false true 084020DRP.TXPH_CFG string false true 0780DRP.TXPH_MONITOR_SEL string false true 00DRP.TXPMARESET_TIME string false true 01DRP.TX_CLK25_DIV string false true 04DRP.TX_CLKMUX_PD string false true 1DRP.TX_DATA_WIDTH string false true 5DRP.TX_DEEMPH0 string false true 00DRP.TX_DEEMPH1 string false true 00DRP.TX_DRIVE_MODE string false true 00DRP.TX_EIDLE_ASSERT_DELAY string false true 6DRP.TX_EIDLE_DEASSERT_DELAY string false true 4DRP.TX_INT_DATAWIDTH string false true 1DRP.TX_LOOPBACK_DRIVE_HIZ string false true 0DRP.TX_MAINCURSOR_SEL string false true 0

Vivado プロパティ  リファレンス japan.xilinx.com 79UG912 (v2014.2) 2014 年 8 月 5 日

Page 80: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

DRP.TX_MARGIN_FULL_0 string false true 4EDRP.TX_MARGIN_FULL_1 string false true 49DRP.TX_MARGIN_FULL_2 string false true 45DRP.TX_MARGIN_FULL_3 string false true 42DRP.TX_MARGIN_FULL_4 string false true 40DRP.TX_MARGIN_LOW_0 string false true 46DRP.TX_MARGIN_LOW_1 string false true 44DRP.TX_MARGIN_LOW_2 string false true 42DRP.TX_MARGIN_LOW_3 string false true 40DRP.TX_MARGIN_LOW_4 string false true 40DRP.TX_PREDRIVER_MODE string false true 0DRP.TX_QPI_STATUS_EN string false true 0DRP.TX_RXDETECT_CFG string false true 1832DRP.TX_RXDETECT_REF string false true 4DRP.TX_XCLK_SEL string false true 0LOGIC.ERR_INJECT_CTRL string false true 0LOGIC.TXOUTCLK_FREQ_CNT string false true 0000LOGIC.TXOUTCLK_FREQ_TUNE string false true 4000LOGIC.TXPAT_ID string false true 1LOGIC.TXUSRCLK2_FREQ_CNT string false true 0000LOGIC.TXUSRCLK2_FREQ_TUNE string false true 4000LOGIC.TXUSRCLK_FREQ_CNT string false true 0000LOGIC.TXUSRCLK_FREQ_TUNE string false true 4000LOGIC.TX_DCM_LOCK string false true 1LOGIC.TX_DCM_RESET_CTRL string false true 0LOGIC.TX_DCM_RESET_STAT string false true 1LOGIC.TX_FRAMED string false true 0NAME string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8/TXPARENT string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/MGT_X0Y8PORT.GTTXRESET string false true 0PORT.TX8B10BBYPASS string false true FFPORT.TX8B10BEN string false true 0PORT.TXBUFDIFFCTRL string false true 4PORT.TXBUFSTATUS string false true 0PORT.TXCHARDISPMODE string false true 00PORT.TXCHARDISPVAL string false true 00PORT.TXCHARISK string false true 00PORT.TXCOMFINISH string false true 0PORT.TXCOMINIT string false true 0PORT.TXCOMSAS string false true 0PORT.TXCOMWAKE string false true 0PORT.TXDEEMPH string false true 0PORT.TXDETECTRX string false true 0PORT.TXDIFFCTRL string false true CPORT.TXDIFFPD string false true 0PORT.TXDLYBYPASS string false true 1PORT.TXDLYEN string false true 0PORT.TXDLYHOLD string false true 0PORT.TXDLYOVRDEN string false true 0PORT.TXDLYSRESET string false true 0PORT.TXDLYSRESETDONE string false true 0PORT.TXDLYUPDOWN string false true 0PORT.TXELECIDLE string false true 0PORT.TXGEARBOXREADY string false true 0PORT.TXHEADER string false true 0PORT.TXINHIBIT string false true 0PORT.TXMAINCURSOR string false true 00

Vivado プロパティ  リファレンス japan.xilinx.com 80UG912 (v2014.2) 2014 年 8 月 5 日

Page 81: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

PORT.TXMARGIN string false true 0PORT.TXOUTCLKFABRIC string false true 1PORT.TXOUTCLKPCS string false true 0PORT.TXOUTCLKSEL string false true 2PORT.TXPCSRESET string false true 0PORT.TXPD string false true 0PORT.TXPDELECIDLEMODE string false true 0PORT.TXPHALIGN string false true 0PORT.TXPHALIGNDONE string false true 0PORT.TXPHALIGNEN string false true 0PORT.TXPHDLYPD string false true 0PORT.TXPHDLYRESET string false true 0PORT.TXPHDLYTSTCLK string false true 0PORT.TXPHINIT string false true 0PORT.TXPHINITDONE string false true 0PORT.TXPHOVRDEN string false true 0PORT.TXPISOPD string false true 0PORT.TXPMARESET string false true 0PORT.TXPOLARITY string false true 0PORT.TXPOSTCURSOR string false true 03PORT.TXPOSTCURSORINV string false true 0PORT.TXPRBSFORCEERR string false true 0PORT.TXPRBSSEL string false true 0PORT.TXPRECURSOR string false true 07PORT.TXPRECURSORINV string false true 0PORT.TXQPIBIASEN string false true 0PORT.TXQPISENN string false true 0PORT.TXQPISENP string false true 0PORT.TXQPISTRONGPDOWN string false true 0PORT.TXQPIWEAKPUP string false true 0PORT.TXRATE string false true 0PORT.TXRATEDONE string false true 0PORT.TXRESETDONE string false true 0PORT.TXSEQUENCE string false true 00PORT.TXSTARTSEQ string false true 0PORT.TXSWING string false true 0PORT.TXSYSCLKSEL string false true 3PORT.TXUSERRDY string false true 1TXDIFFSWING enum false true 1.018 V (1100)TXOUTCLKSEL enum false true TXOUTCLKPMATXOUT_DIV enum false true 1TXPLL enum false true QPLLTXPOST enum false true 0.68 dB (00011)TXPRE enum false true 1.67 dB (00111)TXRATE enum false true Use TXOUT_DIVTXUSRCLK2_FREQ string false true 0.048828TXUSRCLK_FREQ string false true 0.048828TX_DATA_WIDTH enum false true 40TX_INTERNAL_DATAPATH enum false true 4-byteTX_PATTERN enum false true PRBS 7-bitTX_PLL string true true localhost/xilinx_tcf/Digilent/210203327463A/0_1/IBERT/Quad_117/COMMON_X0Y2/QPLL_0

hw_sio_tx オブジェク トのプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_sio_txs] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 81UG912 (v2014.2) 2014 年 8 月 5 日

Page 82: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

hw_sysmon

説明 

システム モニター (hw_sysmon) は、 ザイ リ ンクス デバイス上の Analog-to-Digital Converter (ADC) 回路で、 温度および電圧などの動作状況を測定するために使用されます。 hw_sysmon は、オンチップ温度および供給センサーを使用して物理的な環境を監視します。 ADC は、 広範囲のアプリ ケーシ ョ ンに高精度のアナログ インターフェイスを提供します。 ADC は 大で 17 の外部アナログ入力チャネルにアクセスできます。

hw_sysmon には、データ レジスタ (hw_sysmon_reg オブジェク ト ) が含まれ、温度および電圧の現在の値が格納されます。現在の hw_device でのこれらのレジスタの値には、ハード ウェア サーバーと ターゲッ トに接続される場合、VivadoDesign Suite のハードウェア マネージャー機能を使用してアクセスできます。 hw_sysmon は、 Virtex-7 と UltraScale で異な り ます。 XADC の専用レジスタおよびそれらの読み出し方法の詳細は、 『UltraScale アークテクチャ ク ロ ッキング リ ソース Advance 仕様ユーザー ガイ ド』 (UG580)[参照 8] または『7 シ リーズ FPGA の XADC 12 ビッ ト 1MSPS デュアル アナログ-デジタル コンバーター ユーザー ガイ ド』 (UG480)[参照 4] を参照して ください。

関連オブジェク ト  

hw_sysmon オブジェク トは、現在のhw_target および hw_server にプログラムされた hw_device のハードウェア マネージャーに含まれます。 hw_device の hw_sysmon は次のよ うに取得できます。

get_hw_sysmons -of [get_hw_devices]

X-Ref Target - Figure 1-27

図 1‐27 : hw_sysmon オブジェク ト

Vivado プロパティ  リファレンス japan.xilinx.com 82UG912 (v2014.2) 2014 年 8 月 5 日

Page 83: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

また、hw_sysmon には複数のステータス レジスタ (hw_sysmon_reg オブジェク ト ) が含まれ、それぞれでデバイスの動作温度および電源レールが監視されます。これらのレジスタに格納された値は、次のよ うに hw_sysmon オブジェク トでレジスタを読み出すと戻すこ とができます。

get_hw_sysmon_reg [get_hw_sysmons] 00

プロパティ

report_property コマンドを使用する と、 hw_sysmon オブジェク トに割り当てられた実際のプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。

hw_sysmon のプロパティをレポートするには、次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tclコンソールに貼り付けます。

report_property -all [lindex [get_hw_sysmons] 0]Property Type Read-only Visible ValueADC_A_GAIN hex true true 0000ADC_A_OFFSET hex true true 007eADC_B_GAIN hex true true 0000ADC_B_OFFSET hex true true ffbbCLASS string true true hw_sysmonCONFIG_REG.ACQ binary false true 0CONFIG_REG.ALM0 binary false true 0CONFIG_REG.ALM1 binary false true 0CONFIG_REG.ALM2 binary false true 0CONFIG_REG.ALM3 binary false true 0CONFIG_REG.ALM4 binary false true 0CONFIG_REG.ALM5 binary false true 0CONFIG_REG.ALM6 binary false true 0CONFIG_REG.AVG binary false true 00CONFIG_REG.BU binary false true 0CONFIG_REG.CAL0 binary false true 0CONFIG_REG.CAL1 binary false true 0CONFIG_REG.CAL2 binary false true 0CONFIG_REG.CAL3 binary false true 0CONFIG_REG.CAVG binary false true 0CONFIG_REG.CD binary false true 00000000CONFIG_REG.CH binary false true 00000CONFIG_REG.EC binary false true 0CONFIG_REG.MUX binary false true 0CONFIG_REG.OT binary false true 0CONFIG_REG.PD binary false true 00CONFIG_REG.SEQ binary false true 0000DESCRIPTION string true true XADCFLAG.ALM0 binary true true 0FLAG.ALM1 binary true true 0FLAG.ALM2 binary true true 0FLAG.ALM3 binary true true 0FLAG.ALM4 binary true true 0FLAG.ALM5 binary true true 0FLAG.ALM6 binary true true 0FLAG.JTGD binary true true 0FLAG.JTGR binary true true 0FLAG.OT binary true true 0FLAG.REF binary true true 0LOWER_TEMPERATURE string false true -273.1LOWER_TEMPERATURE_SCALE enum false true CELSIUSLOWER_VCCAUX string false true 0.000

Vivado プロパティ  リファレンス japan.xilinx.com 83UG912 (v2014.2) 2014 年 8 月 5 日

Page 84: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

LOWER_VCCBRAM string false true 0.000LOWER_VCCINT string false true 0.000LOWER_VCCO_DDR string false true 0.000LOWER_VCCPAUX string false true 0.000LOWER_VCCPINT string false true 0.000MAX_TEMPERATURE string true true 41.7MAX_TEMPERATURE_SCALE enum false true CELSIUSMAX_VCCAUX string true true 1.805MAX_VCCBRAM string true true 0.997MAX_VCCINT string true true 1.000MAX_VCCO_DDR string true true 0.000MAX_VCCPAUX string true true 0.000MAX_VCCPINT string true true 0.000MIN_TEMPERATURE string true true 37.3MIN_TEMPERATURE_SCALE enum false true CELSIUSMIN_VCCAUX string true true 1.800MIN_VCCBRAM string true true 0.993MIN_VCCINT string true true 0.997MIN_VCCO_DDR string true true 2.999MIN_VCCPAUX string true true 2.999MIN_VCCPINT string true true 2.999NAME string true true localhost/xilinx_tcf/Digilent/210203336599A/xc7k325t_0/SYSMONSUPPLY_A_OFFSET hex true true 006bSUPPLY_B_OFFSET hex true true ffa9SYSMON_REFRESH_RATE_MS int false true 0TEMPERATURE string true true 37.8TEMPERATURE_SCALE enum false true CELSIUSUPPER_TEMPERATURE string false true -273.1UPPER_TEMPERATURE_SCALE enum false true CELSIUSUPPER_VCCAUX string false true 0.000UPPER_VCCBRAM string false true 0.000UPPER_VCCINT string false true 0.000UPPER_VCCO_DDR string false true 0.000UPPER_VCCPAUX string false true 0.000UPPER_VCCPINT string false true 0.000VAUXP0_VAUXN0 string true true 0.000VAUXP1_VAUXN1 string true true 0.000VAUXP2_VAUXN2 string true true 0.000VAUXP3_VAUXN3 string true true 0.000VAUXP4_VAUXN4 string true true 0.000VAUXP5_VAUXN5 string true true 0.000VAUXP6_VAUXN6 string true true 0.000VAUXP7_VAUXN7 string true true 0.000VAUXP8_VAUXN8 string true true 0.000VAUXP9_VAUXN9 string true true 0.000VAUXP10_VAUXN10 string true true 0.000VAUXP11_VAUXN11 string true true 0.000VAUXP12_VAUXN12 string true true 0.000VAUXP13_VAUXN13 string true true 0.000VAUXP14_VAUXN14 string true true 0.000VAUXP15_VAUXN15 string true true 0.000VCCAUX string true true 1.802VCCBRAM string true true 0.995VCCINT string true true 0.999VCCO_DDR string true true 0.000VCCPAUX string true true 0.000VCCPINT string true true 0.000VP_VN string true true 0.000

Vivado プロパティ  リファレンス japan.xilinx.com 84UG912 (v2014.2) 2014 年 8 月 5 日

Page 85: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

VREFN string true true 0.000VREFP string true true 0.000

HW_TARGET

説明 

ハード ウェア ターゲッ ト (hw_target) とは、 ビッ ト ス ト リーム ファ イルを使用してプログラム、 またはデザインをデバッグするために使用する、 1 つ以上のザイ リ ンクス FPGA デバイスから構成される JTAG チェーンを含むシステムボードです。システム ボード上のハードウェア ターゲッ ト と Vivado Design Suite との接続は、ハード ウェア サーバーオブジェク ト (hw_server) で制御されます。

使用可能なハードウェア ターゲッ トの 1 つへの接続を開くには、 open_hw_target コマンドを使用します。 開いたターゲッ トは、 自動的に現在のハード ウェア ターゲッ ト とな り ます。 Vivado のロジッ ク解析では、 プログラムおよびデバッグ コマンドが hw_server 接続を使用して開いたターゲッ トの FPGA デバイス オブジェク ト (hw_device) に使用されます。

サポート される JTAG ダウンロード ケーブルおよびデバイスのリ ス トは、『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) を参照して ください。

関連オブジェク ト   

ハードウェア ターゲッ トはハード ウェア サーバーに接続されており、次のよ うに hw_server オブジェク トのオブジェク ト と して取得できます。

get_hw_target -of [get_hw_servers]

また、 次を使用する と、 ハード ウェア ターゲッ トに接続されたハード ウェア デバイスを取得できます。

get_hw_devices -of [current_hw_target]

X-Ref Target - Figure 1-28

図 1‐28 :ハードウェア ターゲッ ト  オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 85UG912 (v2014.2) 2014 年 8 月 5 日

Page 86: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

プロパティ

report_property コマンドを使用する と、 hw_target オブジェク トに割り当てられたプロパティをレポートするこ とができます。詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。次は、hw_targetオブジェク トに割り当てられたプロパティ とその値の例を示しています。

Property Type Read-only Visible ValueCLASS string true true hw_targetDEVICE_COUNT int true true 1HW_JTAG hw_jtag true true IS_OPENED bool true true 1NAME string true true localhost/xilinx_tcf/Digilent/210203327463APARAM.DEVICE string true true jsn-JTAG-SMT1-210203327463APARAM.FREQUENCY enum true true 15000000PARAM.TYPE string true true xilinx_tcfTID string true true jsn-JTAG-SMT1-210203327463AUID string true true Digilent/210203327463A

hw_target のプロパティ をレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tclコンソールに貼り付けます。

report_property -all [get_hw_targets]

Vivado プロパティ  リファレンス japan.xilinx.com 86UG912 (v2014.2) 2014 年 8 月 5 日

Page 87: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

HW_VIO

説明 

VIO (Virtual Input/Output) デバッグ コア (hw_vio) は、 ザイ リ ンクス FPGA デバイスにプログラムされている内部信号を リ アルタイムで監視および駆動できます。 ターゲッ ト ハード ウェアへの物理的なアクセスがない場合は、 このデバッグ機能を使用して、 物理デバイス上の信号を駆動および監視できます。

VIO コアは、 ハード ウェア プローブ オブジェク ト を使用してデザインの特定の信号を監視および駆動します。 入力プローブは、 VIO コアへの入力と して信号を監視します。出力プローブは、 VIO コアから信号を指定の値に駆動します。 プローブの値は set_property コマンドで定義され、 commit_hw_vio コマンドでプローブの信号に駆動されます。

VIO デバッグ コアは、 ザイ リ ンクス IP カタログから RTL コードにインスタンシエートする必要があ り ます。 このため、 デザインをデバッグする前にどのネッ ト を監視および駆動するのかわかっておく必要があ り ます。 VIO コアは、IP カタログの [Debug & Verification] → [Debug] カテゴ リに含まれます。 VIO コア IP の詳細は、 『LogiCORE IP VirtualInput/Output 製品ガイ ド』 (PG159) を参照してください。

関連オブジェク ト   

VIO デバッグ コアは、 ザイ リ ンクス IP カタログから RTL ソース ファ イルのデザインに追加できます。 デバッグ コアは、 get_debug_cores コマンドを使用して合成済みネッ ト リ ス ト デザインで検索できます。 これらは Vivado DesignSuite のハードウェア マネージャーに含まれる VIO デバッグ コア オブジェク ト (hw_vio) ではあ り ませんが、 関連はしています。

ハードウェア VIO デバッグ コアは、プログラム済みのハードウェア デバイス オブジェク ト (hw_device) のハードウェア マネージャーに含まれます。 hw_device の hw_vio は次のよ うに取得できます。

get_hw_vios -of [current_hw_device]

X-Ref Target - Figure 1-29

図 1‐29 : hw_vio オブジェク ト  

Vivado プロパティ  リファレンス japan.xilinx.com 87UG912 (v2014.2) 2014 年 8 月 5 日

Page 88: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 1 章 : Vivado の第一級オブジェク ト

また、 hw_vio デバッグ コアには、 それに接続されたプローブが含まれており、 次のよ うに取得できます。

get_hw_probes -of [get_hw_vios]

プロパティ  

report_property コマンドを使用する と、 hw_vio オブジェク トに割り当てられたプロパティをレポートするこ とができます。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) を参照してください。

Property Type Read-only Visible ValueCLASS string true true hw_vioCORE_REFRESH_RATE_MS int false true 500HW_CORE string true false core_1INSTANCE_NAME string true true i_vio_newIS_ACTIVITY_SUPPORTED bool true true 1NAME string true true hw_vio_1

hw_vio オブジェク トのプロパティをレポートするには、 次のコマンドをコピーして Vivado Design Suite の Tcl シェルまたは Tcl コンソールに貼り付けます。

report_property -all [lindex [get_hw_vios] 0]

Vivado プロパティ  リファレンス japan.xilinx.com 88UG912 (v2014.2) 2014 年 8 月 5 日

Page 89: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2章

主なプロパティの説明

プロパティ情報本章では、 ザイ リ ンクス Vivado® Design Suite プロパティについて説明します。 各プロパティの説明には、 該当する場合は次の情報が含まれます。

• 主な使用方法を含むプロパティの説明

• プロパティをサポートするザイ リ ンクス FPGA デバイス アーキテクチャ (UltraScale™を含む)。 例外は注記されています。

• プロパティをサポートするオブジェク ト またはデバイス リ ソース。

• プロパティに割り当て可能な値

• Verilog、 VHDL、 XDC の構文

• プロパティの影響を受けるデザイン フローのステップ

• 関連プロパティへの相互参照

重要 : HDL と XDC の両方でプロパティが定義されている場合は、 XDC のほうが優先され、 HDL プロパティは上書きされます。

Vivado Design Suite でのこれらのプロパティの使用については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』(UG903) を[参照 12]参照してください。

Vivado プロパティ  リファレンス japan.xilinx.com 89UG912 (v2014.2) 2014 年 8 月 5 日

Page 90: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

ASYNC_REG

ASYNC_REG 属性は、 Vivado ツール フローのさまざまなプロセスに影響します。 ASYNC_REG では、 次が指定されます。

• 非同期データがソース ク ロ ッ ク と接続される D 入力ピンでレジスタが受信できる。

または

• レジスタが同期チェーン内の同期レジスタになる。

シ ミ ュレーシ ョ ン中にタイ ミ ング違反が発生する と、 デフォル ト ではレジスタ エレ メ ン ト から X または未知のステート (1 でも 0 でもない値) が出力されます。 この場合、 エレ メン トの駆動するものすべての入力が X と表示され、未知のステートになり ます。 この状態のままにしておく と、 デザインの大きなセクシ ョ ンが未知になったり、 シ ミ ュレータでこのステートから回復できないこ とがあ り ます。ASYNC_REG では、 タイ ミ ング違反が発生しても 後の既知の値を出力するよ うにレジスタが変更されます。

Vivado 合成では、 この属性は 「DONT_TOUCH」 属性と して処理され、 ASYNC_REG プロパティをネッ ト リ ス トに挿入します。 これによ り、 合成でレジスタまたは周囲のロジッ クが 適化されなくな り、 フローの後のほうのツールで適切に処理されるよ うになり ます。

ASYNC_REG を指定する と、 適化、 配置、 配線にも影響し、 メ タステーブルになる可能性のある MTBF (平均故障間隔) が改善されます。 ASYNC_REG が指定されている と、 配置ツールで非同期チェーンのフ リ ップフロ ップ同士が近くに配置され、 MTBF を 長にできます。 ASYNC_REG が設定され直接接続されているレジスタに、互換性のある制御セッ トがあ り、 またレジスタ数がスライスの使用可能な リ ソース数を超えない場合は、 グループにまとめられて1 つのスライスに一緒に配置されます。

重要 : ASYNC_REG と IOB の両方がレジスタに割り当てられる と、 IOB プロパティが ASYNC_REG よ り も優先され、レジスタが SLICE ロジッ クではなく、 ILOGIC ブロ ッ クに配置されます。

次は、 90 ページの図 2-1 にあるフ リ ップフロ ップを 2 つ使用した、 または 1 段のシンクロナイザーの Verilog 例です。レジスタは、 個別のクロ ッ ク ド メ インからの値を同期させます。 ASYNC_REG プロパティの値が TRUE なのでシンクロナイザー段に適用されます。

(* ASYNC_REG = "TRUE" *) reg sync_0, sync_1;always @(posedge clk) beginsync_1 <= sync_0;

X-Ref Target - Figure 2-1

図 2‐1 : クロック  ド メインの同期

Vivado プロパティ  リファレンス japan.xilinx.com 90UG912 (v2014.2) 2014 年 8 月 5 日

Page 91: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

sync_0 <= en;...

ASYNC_REG プロパティを使用する と、 レジスタがグループ化されるので、できるだけ近くに配置するこ とができます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ソース RTL で宣言された信号

• インスタンシエート されたレジスタ セル (get_cells)

° レジスタ (FD、 FDCE、 FDPE、 FDRE、 FDSE)

• FALSE (デフォルト ) : レジスタは 適化で削除されるか、 SRL、 DSP、 または RAMB などのブロ ッ クに吸収されます。 特殊なシ ミ ュレーシ ョ ン、 配置、 配線規則は適用されません。

• TRUE : レジスタは同期チェーンの一部で、 インプリ メンテーシ ョ ン中も保持され、 チェーンのその他のレジスタの近くに配置されて、 MTBF レポートに使用されます。

X-Ref Target - Figure 2-2

図 2‐2 : レジスタのグループ化

Vivado プロパティ  リファレンス japan.xilinx.com 91UG912 (v2014.2) 2014 年 8 月 5 日

Page 92: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

Verilog 属性はレジスタのインスタンシエーシ ョ ンまたは reg 宣言の直前に配置します。

(* ASYNC_REG = "{TRUE|FALSE}" *)

Verilog の構文例

// Designates sync_regs as receiving asynchronous data(* ASYNC_REG = "TRUE" *) reg [2:0] sync_regs;

VHDL 構文

推論されたロジッ クに対して次のよ うに VHDL 属性を宣言および指定します。

attribute ASYNC_REG : string;attribute ASYNC_REG of name: signal is "{TRUE}";

または、 インスタンシエート されたロジッ クに対して次のよ うに VHDL 属性を指定します。

attribute ASYNC_REG of name: label is "{TRUE|FALSE}";

name は、 次のいずれかになり ます。

• シンクロナイザー レジスタに推論される宣言済みの信号

• インスタンシエート されたレジスタのインスタンス名

VHDL の構文例

attribute ASYNC_REG : string;signal sync_regs : std_logic_vector(2 downto 1);-- Designates sync_regs as receiving asynchronous data attribute ASYNC_REG of sync_regs: signal is "TRUE";

XDC 構文

set_property ASYNC_REG value [get_cells instance_name]

説明 :

• instance_name はレジスタ セルです。

XDC の構文例

# Designates sync_regs as receiving asynchronous dataset_property ASYNC_REG TRUE [get_cells sync_regs*]

影響を受けるステップ

• launch_xsim

• synth_design

• place_design

• route_design

Vivado プロパティ  リファレンス japan.xilinx.com 92UG912 (v2014.2) 2014 年 8 月 5 日

Page 93: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• phys_opt_design

• power_opt_design

• report_drc

• write_verilog

• write_vhdl

関連項目

139 ページの 「IOB」

BEL

BEL ではレジスタまたは LUT のスライス内での特定の配置を指定します。 通常 LOC プロパティ と一緒に使用して、レジスタまたは LUT の正確な配置を指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° レジスタ (FD、 FDCE、 FDPE、 FDRE、 FDSE)

° LUT (LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 LUT6、 LUT6_2)

° SRL (SRL16E、 SRLC32E)

° LUTRAM (RAM32X1S、 RAM64X1S)

• BEL = <name>

BEL のロジッ ク コンテンツ次第で、 BEL の名前は変わり ます。 また、 BEL 名には BEL のサイ ト名を含めるこ とも で き ま す。 た と え ば、 BSCAN_X0Y0/BSCAN、 IPAD_X0Y54/IPAD、 BUFGCTRL_X0Y16/BUFG、SLICE_X1Y199/A5FF などが有効な BEL 名です。

構文

Verilog 構文 

Verilog 属性は LUT または レジスタのインスタンシエーシ ョ ン直前に配置します。推論されたレジスタの SRL またはLUTRAM の reg 宣言前に配置するこ と もできます。

(* BEL = "site_name" *)

Vivado プロパティ  リファレンス japan.xilinx.com 93UG912 (v2014.2) 2014 年 8 月 5 日

Page 94: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

Verilog の構文例

// Designates placed_reg to be placed in FF site A5FF(* BEL = "A5FF" *) reg placed_reg;

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute BEL : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute BEL of instance_name : label is "site_name";

説明 :

• instance_name は LUT、 SRL、 LUTRAM などのインスタンシエート済みレジスタのインスタンス名です。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed in FF site A5FFattribute BEL of placed_reg : label is "A5FF";

推論済みインスタンスの場合、 VHDL 属性は次のよ うに指定します。

attribute BEL of signal_name : signal is "site_name";

説明 :

• signal_name は LUT、 SRL、 LUTRAM などの推論済みレジスタの信号名です。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed in FF site A5FFattribute BEL of placed_reg : signal is "A5FF";

XDC 構文

set_property BEL site_name [get_cells instance_name]

説明 :

• instance_name はレジスタ、 LUT、 SRL、 または LUTRAM インスタンスです。

XDC の構文例

# Designates placed_reg to be placed in FF site A5FFset_property BEL A5FF [get_cells placed_reg]

Vivado プロパティ  リファレンス japan.xilinx.com 94UG912 (v2014.2) 2014 年 8 月 5 日

Page 95: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

影響を受けるステップ

• デザインのフロアプラン

• place_design

関連項目

152 ページの 「LOC」

BLACK_BOX

BLACK_BOX 属性は、すべての階層レベルをオフにし、合成でそのモジュールまたはエンティティに対してブラ ッ クボッ クスを作成できるよ うにするデバッグ用の属性です。 この属性を指定する と、 モジュールまたはエンティティに対して有効なロジッ クがあったと しても、合成ツールでそのレベルに対してブラ ッ ク ボッ クスが作成されます。この属性はモジュール、 エンティティ、 コンポーネン トに設定できます。

この属性は合成コンパイラに影響するので、 RTL でのみ設定可能です。

ブラ ッ ク ボッ クスのコーディング スタイルの詳細については、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)[参照 11] を参照してください。

アーキテクチャ  サポート

• すべてのアーキテクチャ

適用可能なオブジェク ト

• ソース RTL のモジュール、 エンティティ、 またはコンポーネン ト

• TRUE (または YES) : 合成中にコンポーネン ト またはモジュールをブラ ッ ク ボッ クス と してマークします。

• FALSE (または NO) : コンポーネン ト またはモジュールをブラ ッ ク ボッ クス と してマークしません。 これがデフォルトです。

構文

Verilog 構文

Verilog の場合、 モジュールの BLACK_BOX 属性には値が必要なく、 その存在自体でブラ ッ ク ボッ クスが定義されます。

(* black_box *) module test(in1, in2, clk, out1);

Vivado プロパティ  リファレンス japan.xilinx.com 95UG912 (v2014.2) 2014 年 8 月 5 日

Page 96: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 構文

attribute black_box : string;attribute black_box of beh : architecture is "yes";

XDC 構文

該当なし

影響を受けるステップ

• 合成

BUFFER_TYPE

重要 : BUFFER_TYPE よ り も 「CLOCK_BUFFER_TYPE」 および 「IO_BUFFER_TYPE」 プロパティを使用した方がバッファーの推論が制御しやすくなり ます。

デフォル ト では、 Vivado 合成は、 ク ロ ッ ク ポー ト に対し、 入力バッ フ ァーと グローバル ク ロ ッ ク バッ フ ァー(IBUF/BUFG) の組み合わせたものを推論し、 入力ポートに対して入力バッファーを、 出力ポートに対して出力バッファーを推論しますが、 手動で BUFFER_TYPE プロパティを指定し、 Vivado 合成のデフォルト動作を上書きすること もできます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• BUFFER_TYPE 属性は 上位ポート (all_inputs、 all_outputs、 get_ports) に設定できます。

• IBUF : デフォルトの IBUF/BUFG ペアが不要なクロ ッ ク ポートにこの値を指定します。この場合はクロ ッ クに対して IBUF のみが推論されます。 デフォルトで推論されるのは IBUF と OBUF だけなので、 これによ り、 入力または出力ポートが影響を受けるこ とはあ り ません。

• NONE : この値をクロ ッ ク ポート、 入力ポート、 または出力ポートに指定する と、 入力または出力バッファーは推論されなくな り ます。 ク ロ ッ ク ポートに none を指定する と、 バッファーは使用されません。

構文

Verilog 構文 

(* buffer_type = "none" *) input in1; //this will result in no buffers(* buffer_type = "ibuf" *) input clk1; //this will result in a clock with no bufg

Vivado プロパティ  リファレンス japan.xilinx.com 96UG912 (v2014.2) 2014 年 8 月 5 日

Page 97: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 構文

entity test is port(in1 : std_logic_vector (8 downto 0);clk : std_logic;out1 : std_logic_vector(8 downto 0));attribute buffer_type : string;attribute buffer_type of in1 : signal is "none";end test;

XDC 構文

BUFFER_TYPE プロパティは、 XDC 制約ファイルのポート オブジェク トにも使用できます。

set_property BUFFER_TYPE <value> [get_ports <port_name>]

説明 :

• <value> には、 BUFFER_TYPE の有効な値を指定します。

• <port_name> には、 プロパティを設定するポート名を指定します。

影響を受けるステップ

• 合成

関連項目 

99 ページの 「CLOCK_BUFFER_TYPE」

137 ページの 「IO_BUFFER_TYPE」

CFGBVS

ザイ リ ンクス デバイスでは 3.3V、 2.5V、 1.8V、 または 1.5V の I/O を使用したコンフ ィギュレーシ ョ ン インターフェイスがサポート されます。 コンフ ィギュレーシ ョ ン インターフェイスには、バンク 0 の JTAG ピン、バンク 0 の専用コンフ ィギュレーシ ョ ン ピンが含まれるほか、 特定コンフ ィギュレーシ ョ ン モードに関連したピンが 7 シ リーズの場合はバンク 14 と 15 に、 UltraScale アーキテクチャの場合はバンク 65 に含まれます。

バンク 0 で適切なコンフ ィギュレーシ ョ ン インターフェイス電圧をサポートするには、 I/O バンクを 3.3V/2.5 または1.8V/1.5V 操作用にコンフ ィギュレーシ ョ ンするため、CFGBVS (Configuration Bank Voltage Select) ピンを VCC_0 または GND にそれぞれ設定する必要があ り ます。 CFGBVS は、 VCCO_0 と GND を参照する ロジッ ク入力ピンです。CFGBVS ピンが VCCO_0 電源に接続されている場合、コンフ ィギュレーシ ョ ン中、バンク 0 の I/O は 3.3V または 2.5V での操作をサポート します。 CFGBVS ピンが GND に接続されている場合、 コンフ ィギュレーシ ョ ン中、 バンク 0の I/O は 1.8V または 1.5V での操作をサポート します。

CFGBVS ピンの設定によ り常にバンク 0 の I/O 電圧サポートが決ま り ます。 7 シ リーズ デバイスの場合はバンク 14およびバンク 15 が、 UltraScale アーキテクチャの場合はバンク 65 が HR バンク タイプなので、 CFGBVS ピンとそれに該当する 「CONFIG_VOLTAGE」 プロパティによ り、 コンフ ィギュレーシ ョ ン中にサポート される I/O 電圧が決まり ます。

重要 : 1.8V/1.5V I/O 操作の場合に CFGBVS が GND に設定されている場合、ザイ リ ンクス FPGA への損傷を避けるため、 バンク 0 への VCCO_0 電源および I/O 信号は 1.8V 以下にする必要があ り ます。

Vivado プロパティ  リファレンス japan.xilinx.com 97UG912 (v2014.2) 2014 年 8 月 5 日

Page 98: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

CFGBVS の詳細については、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)[参照 1]または『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570)[参照 5] を参照してください。

デザイ ンの CONFIG_MODE 設定の互換性を確認するため、 Report DRC コマン ド が CFGBVS およびCONFIG_VOLTAGE をチェッ ク します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• デザイン (current_design、 get_designs)

• VCCO : 3.3V/2.5V 操作用に I/O バンク 0 をコンフ ィギュレーシ ョ ン

• GND : 1.8V/1.5V 操作用に I/O バンク 0 をコンフ ィギュレーシ ョ ン

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CFGBVS [VCCO | GND] [current_design]

XDC の構文例

# Configure I/O Bank 0 for 3.3V/2.5V operationset_property CFGBVS VCCO [get_designs impl_1]

影響を受けるステップ

• I/O 配置

• DRC レポート

• write_bitstream

関連項目

103 ページの 「CONFIG_MODE」

104 ページの 「CONFIG_VOLTAGE」

Vivado プロパティ  リファレンス japan.xilinx.com 98UG912 (v2014.2) 2014 年 8 月 5 日

Page 99: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

CLOCK_BUFFER_TYPE

重要 : ク ロ ッ ク入力ポートには、 BUFFER_TYPE ではなく CLOCK_BUFFER_TYPE プロパティを使用してください。

デフォル ト では、 Vivado 合成では、 ク ロ ッ ク ポー ト に対し入力バッ フ ァーと グローバル ク ロ ッ ク バッ フ ァー(IBUF/BUFG) の組み合わせたものが推論されますが、CLOCK_BUFFER_TYPE プロパティを使用する と、Vivado 合成ツールで IBUF/BUFR ペアまたは IBUF/BUFIO ペアなどの異なるタイプのク ロ ッ ク バッファが推論されるか、 バッファーがすべて削除されるかを指定できます。

CLOCK_BUFFER_TYPE は、 RTL でのみ設定できます。 .XDC では現在のと ころサポート されていません。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• CLOCK_BUFFER_TYPE 属性は 上位クロ ッ ク ポートにに設定し、 使用するクロ ッ ク バッファー タイプを指定します。

• BUFG、 BUFH、 BUFIO、 BUFMR、 BUFR : ク ロ ッ ク ポートに対して入力バッファーおよび指定したクロ ッ クバッファーを組み合わせたものが推論されます。

• NONE : ク ロ ッ クに対してバッファーは推論されません。

構文

Verilog 構文

(* clock_buffer_type = "none" *) input clk1;

VHDL 構文

entity test is port(

in1 : std_logic_vector (8 downto 0);

clk : std_logic;

out1 : std_logic_vector(8 downto 0));

attribute clock_buffer_type : string;

attribute clock_buffer_type of clk: signal is "BUFR";

end test;

XDC 構文

該当なし

Vivado プロパティ  リファレンス japan.xilinx.com 99UG912 (v2014.2) 2014 年 8 月 5 日

Page 100: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

影響を受けるステップ

• 合成

関連項目 

96 ページの 「BUFFER_TYPE」

137 ページの 「IO_BUFFER_TYPE」

CLOCK_DEDICATED_ROUTE

CLOCK_DEDICATED_ROUTE プロパティは、 ターゲッ ト デバイスに対するクロ ッ ク配置ルールに厳密に従うべきかど うかを設定します。

外部ユーザー ク ロ ッ クは、ク ロ ッ ク対応入力 (CCIO) と呼ばれる差動クロ ッ ク ピンのペアから FPGA に供給する必要があ り ます。 これらの CCIO は、 さまざまなク ロ ッ ク供給機能のタイ ミ ングを確約するため、 内部のグローバルおよびリージ ョナル ク ロ ッ ク リ ソースへの専用、 高速配線を提供します。 ク ロ ッ ク配置ルールの詳細については、 『7 シリーズ FPGA ク ロ ッキング ユーザー ガイ ド』 (UG472)[参照 3]または 『UltraScale アーキテクチャ ク ロ ッキング ユーザー ガイ ド』 (UG572)[参照 7] を参照してください。

通常、ターゲッ トの FPGA の専用クロ ッ ク ツ リーから クロ ッ ク配線を外したり、標準配線チャネルを使用する といった目的で、 クロ ッ ク コンポーネン ト を配置する必要が出てきたと きに、 CLOCK_DEDICATED_ROUTE プロパティは使用されます。 専用配線が使用できない場合は、 CLOCK_DEDICATED_ROUTE を FALSE に設定する と、 ク ロ ッ クソースがロード ク ロ ッ ク バッファーに比べて 適ではない位置に配置されている と き、ク ロ ッ ク配置 DRC がエラーから警告になり ます。

注意 : CLOCK_DEDICATED_ROUTE を False にする と、 ク ロ ッ ク遅延が 適ではなくなる可能性があ り、 タイ ミ ングなどの問題が発生するこ とがあ り ます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• グローバル ク ロ ッ ク バッファー (BUFG、BUFGCE、BUFGMUX、BUGCTRL) の入力に接続されたネッ ト (get_nets)

• TRUE :ク ロ ッ ク配置 DRC 違反がエラーと してレポート されます (デフォルト )。

• FALSE :ク ロ ッ ク配置 DRC 違反が警告に格下げされます。 専用高速クロ ッ ク配線が使用されないよ うにするため、 ク ロ ッ ク コンポーネン ト (BUFG、 MMCM、 PLL など) が配置されるたびにこれを使用する必要があ り ます。

• BACKBONE : 基本的なクロ ッ ク配置ルールに違反するロケーシ ョ ン制約を割り当てる場合はこの値を使用する必要があるこ とがあ り ますが、 通常は推奨されません。 MMCM または PLL がソースの CCIO ピンからかなり離れた位置に配置される場合はこの値を使用します。 ワイヤの長さが長くなる分、 CCIO から MMCM までのタイ

Vivado プロパティ  リファレンス japan.xilinx.com 100UG912 (v2014.2) 2014 年 8 月 5 日

Page 101: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

ミ ング パスに遅延が追加されますが、 これは MMCM や PLL フ ィードバッ クによっては完全には削除されません。 遅延が追加されてもデザインのタイ ミ ングが満たされる場合は BACKBONE を使用します。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CLOCK_DEDICATED_ROUTE [TRUE | FALSE | BACKBONE] [get_nets net_name]

説明 :

• net_name は、 グローバル ク ロ ッ ク バッファーの入力に接続された信号名です。

XDC の構文例

# Designates clk_net to have relaxed clock placement rulesset_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_net]

影響のある処理

• place_design

• report_drc

CLOCK_ROOT

ク ロ ッ ク ド ラ イバー、 またはルート をターゲッ ト デバイスの特定クロ ッ ク領域に割り当てるために使用します。

CLOCK_ROOT プロパティはデバイス全体でクロ ッ ク スキューを管理しやすくするこ とを目的に使用します。デフォルトでは、すべてのロードに対し、ベス ト な状態でクロ ッ ク遅延のバランスを取るこ とができるよ う、 ク ロ ッ ク ルートがクロ ッ ク ネッ ト ワークの中央に自動的に配置配線ツールによ り割り当てられます。CLOCK_ROOT プロパティを使用する と、 このクロ ッ ク ルート を手動で割り当てるこ とができます。

CLOCK_ROOT プロパティは、 グローバル ネッ ト、 またはそれを駆動するセルに設定するこ とができます。階層ネットの場合は、ネッ トの任意箇所にこのプロパティを割り当てるこ とができますが、プロパティは 上位クロ ッ ク ネットに設定されます。 この割り当てを知らせる メ ッセージが表示されます。

CLOCK_ROOT プロパティはクロ ッ ク リ ソースの配置中に検証・使用されるため、配置前に割り当てておく必要があり ます。 しかし、 配置後に割り当てる場合は、 配置を実行してそれをデザインに反映させる必要があ り ます。

アーキテクチャ  サポート

UltraScale デバイス

Vivado プロパティ  リファレンス japan.xilinx.com 101UG912 (v2014.2) 2014 年 8 月 5 日

Page 102: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

適用可能なオブジェク ト

• ネッ ト - グローバル ク ロ ッ ク ネッ ト (get_nets)

• セル - ク ロ ッ ク ネッ ト を駆動するグローバル ク ロ ッ ク バッファー (get_cells)

° BUFGCE

° BUFGCTRL

° BUFGCE_DIV

° BUFG_GT

• <clock_region>

ターゲッ ト デバイスのク ロ ッ ク領域の名前を指定します。 または get_clock_regions コマンドによ り渡される クロ ッ ク領域オブジェク トになり ます。

• <object>

ク ロ ッ ク ネッ ト またはネッ ト セグメン ト、 またはクロ ッ ク ネッ ト を駆動するセル (複数指定可能)

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CLOCK_ROOT <clock_region> <List of clock nets>

または

set_property CLOCK_ROOT <clock_region> <List of cells driving clock nets>

XDC の構文例

set_property CLOCK_ROOT X0Y0 [get_nets {clk1 clk2}]set_property CLOCK_ROOT [get_clock_regions X0Y0] [get_nets {clk1 clk2}]set_property CLOCK_ROOT X0Y0 [get_cells {clk1_BUFGCE}]

影響のある処理

• 配置

• 配線

Vivado プロパティ  リファレンス japan.xilinx.com 102UG912 (v2014.2) 2014 年 8 月 5 日

Page 103: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

CONFIG_MODE

CONFIG_MODE プロパテ ィは、 ピン割り当て、 DRC レポート、 ビ ッ ト ス ト リーム生成に対し、 どのデバイス コンフ ィギュレーシ ョ ン モードを使用するかを定義します。

重要 : COMPATIBLE_CONFIG_MODES プロパティは 2013. 3 リ リースで中止とな り、この CONFIG_MODE プロパティに置き換えられています。

ザイ リ ンクス FPGA は、 特別なコンフ ィギュレーシ ョ ン ピンを使用して、 アプ リ ケーシ ョ ン別のコンフ ィギュレーシ ョ ン データまたはビッ ト ス ト リームを内部メモ リに読み込むこ とによって、コンフ ィギュレーシ ョ ンされます。コンフ ィギュレーシ ョ ン データパスには一般的に 2 種類あ り ます。 必要なデバイス ピンの数を 小限に抑えるために使用されるシ リ アル データパス、 よ り 高速なコ ンフ ィ ギュ レーシ ョ ン用のパラ レル データパスの 2 つです。CONFIG_MODE プロパティを使用して、 デザインに対しどのモードを使用するかを定義します。

デバイス コンフ ィギュレーシ ョ ン モードの詳細については、『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)[参照 1]または 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570)[参照 5]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• デザイン (current_design)

• S_SERIAL

• M_SERIAL

• S_SELECTMAP

• M_SELECTMAP

• B_SCAN

• S_SELECTMAP+READBACK

• M_SELECTMAP+READBACK

• B_SCAN+READBACK

• S_SELECTMAP32

• S_SELECTMAP32+READBACK

• S_SELECTMAP16

• S_SELECTMAP16+READBACK

• SPIx1

• SPIx2

• SPIx4

• BPI8

• BPI16

Vivado プロパティ  リファレンス japan.xilinx.com 103UG912 (v2014.2) 2014 年 8 月 5 日

Page 104: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CONFIG_MODE <value> [current_design]

<value> にはコンフ ィギュレーシ ョ ン モードを指定します。

XDC の構文例

# Specify using Configuration Mode Serial Peripheral Interface, 4-bit widthset_property CONFIG_MODE {SPIx4} [current_design]

影響のある処理

• I/O 配置

• place_design

• report_drc

• write_bitstream

CONFIG_VOLTAGE

ザイ リ ンクス デバイスでは 3.3V、 2.5V、 1.8V、 または 1.5V の I/O を使用したコンフ ィギュレーシ ョ ン インターフェイスがサポート されます。 コンフ ィギュレーシ ョ ン インターフェイスには、バンク 0 の JTAG ピン、バンク 0 の専用コンフ ィギュレーシ ョ ン ピンが含まれるほか、 特定コンフ ィギュレーシ ョ ン モードに関連したピンが 7 シ リーズの場合はバンク 14 と 15 に、 UltraScale アーキテクチャの場合はバンク 65 に含まれます。 CONFIG_VOLTAGE プロパティや VCCO_0 電圧は 3.3、 2.5、 1.8、 または 1.5 に設定できます。

バンク 0 のピンの I/O 電圧サポート を決めるには、 CONFIG_VOLTAGE を正しいコンフ ィギュレーシ ョ ン電圧に設定する必要があ り ます。 コンフ ィギュレーシ ョ ン電圧の詳細については、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ンユーザー ガイ ド』 (UG470)[参照 1]または 『UltraScale アーキテクチャ コンフ ィ ギュレーシ ョ ン ユーザー ガイ ド』(UG570)[参照 5] を参照してください。

CFGBVS ピンの設定によ り常にバンク 0 の I/O 電圧サポートが決ま り ます。 7 シ リーズ デバイスの場合はバンク 14およびバンク 15 が、 UltraScale アーキテクチャの場合はバンク 65 が HR バンク タイプなので、 CFGBVS ピンとそれに該当する CONFIG_VOLTAGE プロパティによ り、コンフ ィギュレーシ ョ ン中にサポート される I/O 電圧が決ま り ます。

デザインで CONFIG_MODE 設定の互換性を確認するため、 Report DRC チェッ クがバンク 0、 14、 15 (7 シ リーズ)、 65(UltraScale アーキテクチャ ) で実行されます。 DRC の メ ッセージは、 そのバン クに対する IOSTANDARD およびCONFIG_VOLTAGE 設定に基づいて表示されます。 コンフ ィギュレーシ ョ ン電圧は、 IBIS モデルをエクスポートする際にも使用されます。

Vivado プロパティ  リファレンス japan.xilinx.com 104UG912 (v2014.2) 2014 年 8 月 5 日

Page 105: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• デザイン (current_design、 get_designs)

• 1.5、 1.8、 2.5、 または 3.3

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CONFIG_VOLTAGE {1.5 | 1.8 | 2.5 | 3.3} [current_design]

XDC の構文例

# Configure I/O Bank 0 for 1.8V operationset_property CONFIG_VOLTAGE 1.8 [get_designs impl_1]

影響のある処理

• place_design

• report_drc

• write_bitstream

関連項目

97 ページの 「CFGBVS」

103 ページの 「CONFIG_MODE」

Vivado プロパティ  リファレンス japan.xilinx.com 105UG912 (v2014.2) 2014 年 8 月 5 日

Page 106: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

CONTAIN_ROUTING

CONTAIN_ROUTING プロパテ ィは、 Pblock 内に含まれる信号の配線をその Pblock で定義されるエ リ ア内の配線リソースに制限するために使用します。 これによ り、Pblock 内の信号が Pblock 外に配線されるこ とはな くなるので、デザインの再利用性が増します。

デフォルトでは、 Pblock の定義によ り Pblock に割り当てられるロジッ クの配置が Pblock で定義されたエリ ア内に制限されます。 このプロパティには、配線と同じ効果があ り ます。CONTAIN_ROUTING は Pblock 専用のプロパティで、XDC ファ イルで create_pblock コマンドの後に指定する必要があ り ます。

ヒン ト : CONTAIN_ROUTING は、 階層デザイン フローの OOC モジュールに関連するすべての Pblock に使用することをお勧めします。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905) [参照 14] を参照してください。

Pblock でしか使用されない信号のみが Pblock に含まれます。 たとえば、 Pblock 内に BUFGMUX リ ソースがない場合は、 BUFGMUX からのパスまたは BUFGMUX へのパスは含めるこ とができません。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• PBlock (get_pblocks)

• TRUE : Pblock 内の信号の配線が Pblock 範囲で定義されたエリ アに含まれます。

• FALSE : Pblock 内に信号の配線は含まれません。 これがデフォルトです。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CONTAIN_ROUTING <TRUE | FALSE> [get_pblocks <pblock_name>]

説明 :

• <pblock_name> には、 プロパティを設定する Pblock 名を指定します。

Vivado プロパティ  リファレンス japan.xilinx.com 106UG912 (v2014.2) 2014 年 8 月 5 日

Page 107: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

XDC の例

set_property CONTAIN_ROUTING true [get_pblocks pblock_usbEngine0]set_property CONTAIN_ROUTING true [get_pblocks pblock_usbEngine1]

影響のある処理

• 配線

関連項目 

118 ページの 「EXCLUDE_PLACEMENT」

170 ページの 「PBLOCK」

DCI_CASCADE

DCI_CASCADE は、 ハイ パフォーマンス (HP) I/O バンクのグループ内でのマスター /スレーブ関係を定義します。 デ

ジタル制御インピーダンス (DCI) の基準電圧は、 マスターの I/O バンクからスレーブの I/O バンクまでチェーン接続

されています。

DCI_CASCADE は、 どの隣接バンクが DCI カスケード機能を使用するかを指定するので、 基準抵抗器をマスター バンク と共有するこ とにな り ます。 同じ I/O バンク列にある複数の I/O バンクが DCI を使用していて、 同じ VRN/VRP抵抗値を使用する場合、 1 ペアのピンだけを高精度抵抗器に接続すればよいよ うにするため、 内部 VRN および VRPノードがカスケード されます。 DCI_CASCADE はマスター バンク、 およびこの機能に関連付けられているすべてのスレーブ バンクを識別します。 詳細については、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)[参照 2]または 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571)[参照 6] を参照してください。

アーキテクチャ  サポート

• Kintex®-7 デバイス

• Kintex UltraScale デバイス

• Virtex®-7 デバイス

• Virtex UltraScale デバイス

• 大型の Zynq® デバイス

適用可能なオブジェク ト

• I/O バンク (get_iobanks)

° High Performance (HP) バンク タイプ

有効なハイ パフォーマンス (HP) バンク番号

Vivado プロパティ  リファレンス japan.xilinx.com 107UG912 (v2014.2) 2014 年 8 月 5 日

Page 108: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property DCI_CASCADE {slave_banks} [get_iobanks master_bank]

説明 :

• slave_banks はスレーブ バンクのバンク番号のリ ス トです。

• master_bank は指定されたマスター バンクのバンク番号です。

XDC の構文例

# Designate Bank 14 as a master DCI Cascade bank and Banks 15 and 16 as its slavesset_property DCI_CASCADE {15 16} [get_iobanks 14]

影響のある処理

• I/O 配置

• place_design

• DRC

• write_bitstream

• report_power

DIFF_TERM

差動終端 (DIFF_TERM) プロパティは入力および双方向ポートの差動 I/O 規格をサポート します。 ビルト インされた、100オームの差動終端をイネーブル/ディ スエーブルするのに使用します。 詳細は、 『7 Series FPGAs SelectIO リ ソースユーザー ガイ ド』 (UG471) [参照 2]を参照してください。

DIFF_TERM は、 差動の入力および双方向ポート バッファーに差動終端を使用する必要があ り、 また Vivado ツールがポートにオンチップ終端を追加する必要のあるこ とを示します。

アーキテクチャ  サポート

7 シ リーズ デバイス

Vivado プロパティ  リファレンス japan.xilinx.com 108UG912 (v2014.2) 2014 年 8 月 5 日

Page 109: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

推奨 : UltraScale アーキテクチャ デバイスの場合は、差動終端をイネーブルにするため、 「DIFF_TERM_ADV」 を使用する必要があ り ます。

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファーに接続された入力ポート または双方向ポート

• 次の I/O 規格のいずれかを使用しているエレ メン ト :

° LVDS

° LVDS_25

° MINI_LVDS_25

° PPDS_25

° RSDS_25

• FALSE (デフォルト )

差動終端はディ スエーブルになり ます。

• TRUE

差動終端はイネーブルになり ます。

構文

推奨 : 言語テンプレート または 『Vivado Design Suite 7 シ リーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 17]からのインスタンシエーシ ョ ン テンプレート を使用して、 適切な構文を指定してください。

Verilog 構文

DIFF_TERM パラ メーターをポート宣言の直前に配置します。

(* DIFF_TERM = "TRUE" *) input PORT

Verilog の構文例

// Enables differential termination on the specified port(* DIFF_TERM = "TRUE" *) input CLK;

VHDL 構文

VHDL 属性は次のよ うに宣言して指定します。

attribute DIFF_TERM : string;attribute DIFF_TERM of port_name : signal is "TRUE";

VHDL の構文例

-- Designates differential termination on the specified port

Vivado プロパティ  リファレンス japan.xilinx.com 109UG912 (v2014.2) 2014 年 8 月 5 日

Page 110: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

attribute DIFF_TERM of CLK : signal is "TRUE";

XDC 構文

set_property DIFF_TERM TRUE [get_ports port_name]

説明 :

• set_property DIFF_TERM はポート オブジェク トに割り当てるこ とができます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

XDC の構文例

# Enables differential termination on port named CLK_pset_property DIFF_TERM TRUE [get_ports CLK_p]

影響のある処理

• I/O 配置

• report_ssn

• report_power

関連項目

• 110 ページの 「DIFF_TERM_ADV」

• 131 ページの 「IBUF_LOW_PWR」

• 144 ページの 「IOSTANDARD」

DIFF_TERM_ADV

アドバンス差動終端 (DIFF_TERM_ADV) プロパティは UltraScale アーキテクチャでのみ使用するもので、入力または双方向ポートの、 ビルト インされた 100 オームの差動終端をイネーブル/ディ スエーブルするために使用します。

DIFF_TERM_ADV は入力および双方向ポート にのみ使用でき、 また適切な VCCO 電圧でしか使用できません。 100オームの実効差動終端を提供するには、 I/O バンクの VCCO は、HP I/O バンクの場合は 1.8V に接続し、 HR I/O バンクの場合は 2.5V に接続する必要があ り ます。 詳細は、 『UltraScale Series FPGAs SelectIO リ ソース ユーザー ガイ ド』(UG571) [参照 6]を参照してください。

ヒン ト : 7 シ リーズ デザインを UltraScale アーキテクチャに移行するには、「DIFF_TERM」 プロパティを使用する と適切な DIFF_TERM_ADV 値に自動的にアップデート されます。

DIFF_TERM_ADV および DIFF_TERM は、 差動の入力および双方向ポート バッファーに差動終端を使用する必要があ り、 また Vivado Design Suite がポートにオンチップ終端を追加する必要のあるこ とを示します。

アーキテクチャ  サポート

UltraScale

Vivado プロパティ  リファレンス japan.xilinx.com 110UG912 (v2014.2) 2014 年 8 月 5 日

Page 111: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファーに接続された入力ポート または双方向ポート

• 次の I/O 規格のいずれかを使用しているオブジェク ト :

° LVDS、 LVDS_25、 MINI_LVDS_25、 SUB_LVDS、 および SUB_LVDS_25

° LVPECL

° PPDS_25

° RSDS_25

° SLVS_400_25、 および SLVS_400_18

• TERM_100 - オンチップ差動終端で100 オームを使用する。

• TERM_NONE (デフォルト ) - オンチップ差動終端を使用しない。

注記 : UltraScale デバイスには DIFF_TERM プロパティ も使用できます。

° DIFF_TERM = TRUE の場合、 DIFF_TERM_ADV = TERM_100 になり ます。

° DIFF_TERM = FALSE の場合、 DIFF_TERM_ADV = TERM_NONE になり ます。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property DIFF_TERM_ADV TERM_100 [get_ports port_name]

説明 :

• set_property DIFF_TERM_ADV は入力または双方向ポートに割り当てるこ とができます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

XDC の構文例

# Enables differential termination on port named CLK_pset_property DIFF_TERM_ADV TERM_100 [get_ports CLK_p]

影響のある処理

• I/O 配置

• report_ssn

Vivado プロパティ  リファレンス japan.xilinx.com 111UG912 (v2014.2) 2014 年 8 月 5 日

Page 112: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• report_power

関連項目

• 108 ページの 「DIFF_TERM」

• 144 ページの 「IOSTANDARD」

DONT_TOUCH

重要 : KEEP および KEEP_HIERARCHY 属性を DONT_TOUCH に置換します。

DONT_TOUCH は、ユーザー階層またはインスタンシエート済みコンポーネン ト を 適化しないよ うに指定するもので、 これによ り 適化がバウンダ リ を超えて実行されないよ うにな り ます。 これでフロアプラン、 解析、 デバッグがしやすくな り ますが、 適化が抑止されるので、 デザインが大き く、 遅くなってしま う こ とがあ り ます。

KEEP または KEEP_HIERARCHY の代わりに DONT_TOUCH プロパティを使用してください。DONT_TOUCH プロパティは KEEP または KEEP_HIERARCHY プロパティ と同じよ うに機能しますが、KEEP および KEEP_HIERARCHY とは異なり配置配線にフォワード アノテート されるので、インプリ メンテーシ ョ ン中にロジッ ク 適化で削除されることはあ り ません。

推奨 : DONT_TOUCH が適用されているモジュール インスタンスの出力すべてにレジスタを付けます。 この属性は、合成前に適用する と も効果的です。

注記 : モジュールまたはエンテ ィテ ィのポー ト には設定できません。 特定のポー ト を保持する必要がある場合は、flatten_hierarchy = “none” 設定を使用するか、 モジュールまたはエンティティ自体に DONT_TOUCH を設定します。

推奨 : この属性は RTL で設定するこ とをお勧めします。 XDC ファ イルが読み込まれる前に、 保持する必要のある信号が 適化で削除されてしま う こ とがあ り ます。 この属性を RTL で設定しておけば、 必ず適用されます。

DONT_TOUCH、KEEP、または KEEP_HIERARCHY を使用する場合は注意が必要です。ほかの属性が DONT_TOUCH属性と競合する場合は、 DONT_TOUCH 属性が優先されます。

また、 合成およびバ ッ ク エン ド の 適化までネ ッ ト を保持で き る よ う にする ため、 デバ ッ グ用にネ ッ ト にDONT_TOUCH を設定するこ と もできます。ネッ トに設定された DONT_TOUCH はそのネッ トが保持されるこ とのみを確約し、ド ライバーや駆動されているロジッ クは変更する可能性があ り ます。階層ネッ トの場合は、DONT_TOUCHが設定されている部分のみが保持されるので、保持する必要のなるセグメン トにはすべて DONT_TOUCH を設定する必要があ り ます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• この属性は信号、 モジュール、 エンティティ、 コンポーネン トに設定できます。

• セル (get_cells)

• ネッ ト (get_nets)

Vivado プロパティ  リファレンス japan.xilinx.com 112UG912 (v2014.2) 2014 年 8 月 5 日

Page 113: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• FALSE : 階層を超えて 適化されます。 これがデフォルト設定です。

• TRUE : 適化が階層バウンダ リ を超えないよ うにな り、 階層が保持されます。 インスタンシエート されたコンポーネン ト またはネッ トが 適化によ り削除されてしまわないよ う、 保持されます。

構文

Verilog 構文

Verilog 属性をユーザーの階層インスタンシエーシ ョ ンの直前に配置します。

(* DONT_TOUCH = "{TRUE|FALSE}" *)

Verilog の構文例

// Preserve the hierarchy of instance CLK1_rst_sync(* DONT_TOUCH = "TRUE" *) reset_sync #( .STAGES(5) ) CLK1_rst_sync ( .RST_IN(RST | ~LOCKED), .CLK(clk1_100mhz), .RST_OUT(rst_clk1) );

ワイヤの例

(* dont_touch = "true" *) wire sig1;assign sig1 = in1 & in2;assign out1 = sig1 & in2;

モジュールの例

(* DONT_TOUCH = "true|yes" *) module example_dt_ver(clk,In1,In2,out1);

インスタンスの例

(* DONT_TOUCH = "true|yes" *) example_dt_ver U0 (.clk(clk), .in1(a), .in2(b), out1(c));

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute DONT_TOUCH : string;

Vivado プロパティ  リファレンス japan.xilinx.com 113UG912 (v2014.2) 2014 年 8 月 5 日

Page 114: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 属性は次のよ うに指定します。

attribute DONT_TOUCH of name: label is "{TRUE|FALSE}";

説明 :

• name はユーザー定義のインスタンスの名前です。

VHDL の構文例

attribute DONT_TOUCH : string;-- Preserve the hierarchy of instance CLK1_rst_syncattribute DONT_TOUCH of CLK1_rst_sync: label is "TRUE";… CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 );

XDC 構文

set_property DONT_TOUCH {TRUE|FALSE} [get_cells <instance_name>]set_property DONT_TOUCH {TRUE|FALSE} [get_nets <net_name>]

説明 :

• instance_name はリーフ セルまたは階層セルになり ます。

• net_name は階層ネッ トの名前になり ます。

XDC の構文例

# Preserve the hierarchy of instance CLK1_rst_syncset_property DONT_TOUCH TRUE [get_cells CLK1_rst_sync]

# Preserve all segments of the hierarchical net named by the Tcl variablesset_property DONT_TOUCH [get_nets -segments $hier_net]

影響のある処理

• synth_design

• opt_design

• phys_opt_design

• floorplanning

Vivado プロパティ  リファレンス japan.xilinx.com 114UG912 (v2014.2) 2014 年 8 月 5 日

Page 115: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

DRIVE

プログラマブル出力駆動電流をサポートする I/O 規格でコンフ ィギュレーシ ョ ンされた出力バッファーに対し、 出力バッファーの駆動電流を mA で指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 出力バッファーに接続された出力または双方向ポート

整数値 :

• 2

• 4

• 6

• 8

• 12 (デフォルト )

• 16

• 24 (UltraScale アーキテクチャにはこの値は使用できません)

構文

Verilog 構文

推論されてインスタンシエート された出力バッファーの場合、 適切な Verilog 属性構文を 上位出力ポート宣言の前に配置します。

(* DRIVE = "{2|4|6|8|12|16|24}" *)

Verilog の構文例

// Sets the drive strength on the STATUS output port to 2 mA(* DRIVE = "2" *) output STATUS,

VHDL 構文

推論されてインスタンシエート された出力バッファーの場合、適切な VHDL 属性構文を 上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言して指定します。

attribute DRIVE : integer;

Vivado プロパティ  リファレンス japan.xilinx.com 115UG912 (v2014.2) 2014 年 8 月 5 日

Page 116: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

attribute DRIVE of port_name : signal is value;

説明 :

• port_name は 上位出力ポートです。

VHDL の構文例

STATUS : out std_logic;attribute DRIVE : integer;-- Sets the drive strength on the STATUS output port to 2 mAattribute DRIVE of STATUS : signal is 2;

XDC 構文

set_property DRIVE value [get_ports port_name]

説明 :

• port_name は出力または双方向ポートです。

XDC の構文例

# Sets the drive strength of the port STATUS to 2 mAset_property DRIVE 2 [get_ports STATUS]

影響のある処理

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

関連項目

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 18]を参照してください。

• OBUF

• OBUFT

• IOBUF

Vivado プロパティ  リファレンス japan.xilinx.com 116UG912 (v2014.2) 2014 年 8 月 5 日

Page 117: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

EQUALIZATION

EQUALIZATION は伝送ラインでの周波数に依存した減衰をなくすめ、 差動レシーバーで使用でき、 特定の I/O 規格をインプ リ メン ト します。

リ ニア レシーバーの EQUALIZATION はレシーバーで AC ゲインを提供し、伝送ラインでの高周波ロスを補正します。

ヒン ト : 全体的なシグナル インテグ リティを向上させるため、 レシーバーでのイコライゼーシ ョ ンは、 ト ランス ミ ッターでの 「PRE_EMPHASIS」 と組み合わせるこ とができます。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• ポート (get_ports)

重要 : EQUALIZATION の値は特にキャ リブレーシ ョ ンされていません。 デザインで使用されている周波数と伝送ラインに合わせたベス ト な設定を決めるには、 シ ミ ュレーシ ョ ンを実行するこ とを推奨します。 場合によっては、 イコライゼーシ ョ ンのレベルが低いほうが高い場合よ り もよい結果を生むこ とがあ り ます。イコライゼーシ ョ ンのレベルを上げ過ぎる と、 信号の質を改善するよ り も悪化させるこ とがあ り ます。

EQUALIZATION 属性に使用できる値は次のとおりです。

• HP I/O バンク

° EQ_LEVEL0

° EQ_LEVEL1

° EQ_LEVEL2

° EQ_LEVEL3

° EQ_LEVEL4

° EQ_NONE (デフォルト )

• HR I/O バンク

° EQ_LEVEL0、 EQ_LEVEL0_DC_BIAS

° EQ_LEVEL1、 EQ_LEVEL1_DC_BIAS

° EQ_LEVEL2、 EQ_LEVEL2_DC_BIAS

° EQ_LEVEL3、 EQ_LEVEL3_DC_BIAS

° EQ_LEVEL4、 EQ_LEVEL4_DC_BIAS

° EQ_NONE (デフォルト )

Vivado プロパティ  リファレンス japan.xilinx.com 117UG912 (v2014.2) 2014 年 8 月 5 日

Page 118: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは EQUALIZATION 属性を次のよ うな構文で使用します。

set_property EQUALIZATION value [get_ports port_name]

説明 :

• set_property EQUALIZATION は入力バッファーでのリニア イコライゼーシ ョ ンをイネーブルにします。

• <Value> には指定のポートに対しサポート されている EQUALIZATION 値の 1 つが入り ます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

関連項目 

• 160 ページの 「LVDS_PRE_EMPHASIS」

• 178 ページの 「PRE_EMPHASIS」

EXCLUDE_PLACEMENT

EXCLUDE_PLACEMENT は、 Pblock で定義されたエリ ア内のデバイス リ ソースを Pblock に含まれるロジッ クにのみ使用するこ とを示すためのプロパティです。

デフォルトでは、 Vivado 配置ツールで Pblock に割り当てられないロジッ クを Pblock で予約された リ ソース範囲内に配置できます。 このプロパティを使用する とそれができなくな り、 Pblock 用にロジッ ク リ ソースが予約されます。

ヒン ト : これは P b l o c k のロジッ ク リ ソースを限定するだけで、 外部のロジッ クでは、 Pblock で定義されたエリア内の配線リ ソースがまだ使用できます。

アーキテクチャ  サポート

すべてのデバイス

適用可能なオブジェク ト

• Pblock (get_pblocks)

Vivado プロパティ  リファレンス japan.xilinx.com 118UG912 (v2014.2) 2014 年 8 月 5 日

Page 119: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• TRUE : Pblock 内のデバイス ロジッ ク リ ソースを Pblock に割り当てられたロジッ クで使用されるよ うに予約し、それ以外の外部ロジッ クには配置されないよ うにします。

• FALSE : Pblock 内でロジッ ク リ ソースは予約されません。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property EXCLUDE_PLACEMENT TRUE [get_pblocks test]

影響のある処理

• フロアプラン

• 配置

関連項目

106 ページの 「CONTAIN_ROUTING」

170 ページの 「PBLOCK」

FSM_ENCODING

FSM_ENCODING は、 合成中のステート マシンのエンコード方法を指定します。

デフォルトでは、デザインに対しベス ト なソ リ ューシ ョ ンを決める内部アルゴ リズムに基づいて、Vivado 合成ツールがステート マシンのエンコーディング プロ ト コルを選択します。 しかし、 FSM_ENCODING プロパティを使用する場合は、 ユーザーがステート マシンのエンコーディングを指定するこ とができます。

アーキテクチャ  サポート

すべてのアーキテクチャ

Vivado プロパティ  リファレンス japan.xilinx.com 119UG912 (v2014.2) 2014 年 8 月 5 日

Page 120: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

適用可能なオブジェク ト

• ステート マシン レジスタ

• AUTO : FSM_ENCODING を指定しない場合、 これがデフォルトです。 Vivado 合成ツールで 適なステート マシン エンコーディング方式が決定されるよ うにな り ます。同じデザインでもステート マシン レジスタが異なる と、別のエンコーディング スタイルが使用されるこ とがあ り ます。

• ONE_HOT

• SEQUENTIAL

• JOHNSON

• GRAY

• NONE : Vivado 合成ツール内で指定したステート マシン レジスタのステート マシン エンコーディングがディ スエーブルになり ます。 この場合、 ステート マシンはロジッ ク と して合成されます。

Verilog 構文

(* fsm_encoding = "one_hot" *) reg [7:0] my_state;

VHDL 構文

type count_state is (zero, one, two, three, four, five, six, seven);signal my_state : count_state;attribute fsm_encoding : string;attribute fsm_encoding of my_state : signal is "sequential";

XDC 構文

該当なし

影響のある処理

• 合成

関連項目

• 121 ページの 「FSM_SAFE_STATE」

Vivado プロパティ  リファレンス japan.xilinx.com 120UG912 (v2014.2) 2014 年 8 月 5 日

Page 121: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

FSM_SAFE_STATE 

RTL でのみ設定可能です。 XDC ではサポート されていません。

Vivado 合成は、 「FSM_ENCODING」 プロパティ または Vivado 合成の -fsm_extraction コマンド ライン オプシ ョ ンで指定されるさまざまなコンフ ィギュレーシ ョ ンで、 有限ステート マシン (FSM) の抽出をサポート しています。 詳細は、『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)[参照 11] を参照してください。

しかし、 ステート マシンは、デザインがエラーになってしま う無効ステート、 または到達不可能なステートに遷移するこ とがあ り ます。 FSM_SAFE_STATE 属性は、 ステート マシンが不正なステートになったと きに次のクロ ッ ク サイクルで既知のステート にするロジッ クを、 ステート マシンに挿入します。 FSM が無効なステート に遷移した場合、FSM_SAFE_STATE プロパティで FSM が Vivado 合成で合成される と きに使用される回復ステートが定義されます。

ヒン ト : FSM ステート をセーフ リ カバリにするだけでなく、合成結果の質にも影響するこ とがあ り ます。 通常はエリアが大き くなってパフォーマンスが落ちるこ とがあ り ます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ステート マシン レジスタ

• reset_state - Vivado 合成で指定されているよ うに RESET ステート までステート マシンを再実行します。

• power_on_state - Vivado 合成で指定されているよ うに POWER_ON ステート までステート マシンを再実行します。

• default - Vivado 合成で指定されているよ うにデフォルト ステート までステート マシンを再実行します。

• auto - Hamming-3 エンコーディングを暗示します。

Vivado プロパティ  リファレンス japan.xilinx.com 121UG912 (v2014.2) 2014 年 8 月 5 日

Page 122: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

RTL ソースでのみ設定可能です。 XDC では現在のと ころサポート されていません。

Verilog 構文

(* fsm_safe_state = "reset_state" *) reg [2:0] state; (* fsm_safe_state = "reset_state" *) reg [7:0] my_state;

VHDL 構文

type count_state is (zero, one, two, three, four, five, six, seven);signal my_state : count_state;attribute fsm_safe_state : string;attribute fsm_safe_state of my_state : signal is "power_on_state";

XDC 構文

該当なし

影響のある処理

• 合成

関連項目

• 119 ページの 「FSM_ENCODING」

H_SET および HU_SETHDL ソース ファ イルで定義されているよ うに、 デザインの階層に基づいてまとめられたロジッ ク エレ メン トの集合体が階層セッ トです。 H_SET、 HU_SET、 U_SET は HDL デザイン ソース ファイル内の属性で、 合成されたデザインやインプリ メ ン ト されたデザインには現われません。 これらは、 RPM (Relatively Placed Macro) を RTL デザインで定義する と きに使用されます。これらのプロパティの使用および RPM の定義については、『Vivado Design Suite ユーザーガイ ド : 制約の使用』 (UG903) を[参照 12]参照してください。

デザインの階層にあるロジッ ク セルに RLOC プロパティが設定されている と、 H_SET は暗示的に使用されます。 ある階層ブロ ッ ク内のロジッ ク エレ メン トで、RLOC プロパティが設定されているものは、同じ階層セッ ト (H_SET) に自動的に割り当てられます。

モジュールのインスタンス名に基づいて、 各階層モジュールに H_SET プロパテ ィが割り当てられます。 各階層モジュールに H_SET 名は 1 つしかない場合があ り、またその階層内のすべてのロジッ ク エレ メン トは、その H_SET のエレ メン トになり ます。

注記 : HU_SET または U_SET が定義されていないが、 RLOC が定義されている場合は、 H_SET のみが定義されます。

また、 デザインの階層に依存しない ユーザー定義階層セッ ト (HU_SET) またはユーザー定義セッ ト (U_SET) を手動で作成するこ とができます。

1 つの階層モジュールに対し複数の HU_SET 名を定義し、特定階層のインスタンスを特定 HU_SET に割り当てるこ とができます。 これで、 1 つの階層モジュールのロジッ ク エレ メン ト を複数の HU_SET に分けるこ とができます。

Vivado プロパティ  リファレンス japan.xilinx.com 122UG912 (v2014.2) 2014 年 8 月 5 日

Page 123: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

重要 : H_SET または HU_SET を使用している場合、合成されたデザインで RPM の階層を保持するには、 Vivado 合成で KEEP_HIERARCHY プロパティ も必要になり ます。

RTL ソース ファ イルに RLOC もある場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス ト でセルに対する読み出し専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソースファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

次のデザイン エレ メ ン ト 、 またはそのカテゴ リーで HU_SET は使用するこ とができます。 詳細は、 『Vivado DesignSuite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』(UG974) [参照 18]を参照してください。

• レジスタ

• LUT

• マクロ インスタンス

• RAMS

• RAMD

• RAMB18/FIFO18

• RAMB36/FIFO36

• DSP48

• NAME :HU_SET の名前

構文

Verilog 構文

これは、 合成後ネッ ト リ ス トで RPM を定義する階層ブロ ッ クのセッ トの内容を定義するため、 RLOC プロパティ と組み合わせた Verilog 構文です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

これは、モジュールでのシフ ト レジスタ フ リ ップフロ ップの RLOC および HU_SET プロパティを定義する Verilog モジュールです。

module ffs ( input clk, input d, output q );

Vivado プロパティ  リファレンス japan.xilinx.com 123UG912 (v2014.2) 2014 年 8 月 5 日

Page 124: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y0", HU_SET = "h0" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y1", HU_SET = "h0" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y1", HU_SET = "h0" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y0", HU_SET = "h1" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y0", HU_SET = "h1" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y1", HU_SET = "h1" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y1", HU_SET = "h1" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

先ほどの例では、 ffs モジュールのインスタンスに KEEP_HIERARCHY プロパティを指定して、 階層を保持し、 合成されたデザインで RPM を定義する必要があ り ます。

module top ( input clk, input d, output q );

wire c1, c2;

(* KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1); (* KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2); (* KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HU_SET : string;

Vivado プロパティ  リファレンス japan.xilinx.com 124UG912 (v2014.2) 2014 年 8 月 5 日

Page 125: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 制約は次のよ うに指定します。

attribute HU_SET of {component_name | entity_name | label_name} :{component|entity|label} is "NAME";

説明 :

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• "NAME" には HU_SET の名前を指定します。

XDC 構文

HU_SET プロパティは XDC 制約を使用しては定義できません。 RLOC と と もにロジッ ク エレ メン トに設定されている HU_SET プロパティは、RPM を定義し、その結果合成されたデザインのネッ ト リ ス トに読み出し専用の RPM プロパティが設定されます。

ヒ ン ト : デザイ ン内で RPM のよ う に機能するマ ク ロ オブジェ ク ト を Vivado Design Suite で定義するには、create_macro または update_macro を使用します。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 9] を参照してください。

影響のある処理

• デザインのフロアプラン

• place_design

• synth_design

関連項目

• 148 ページの 「KEEP_HIERARCHY」

• 185 ページの 「RLOC」

• 188 ページの 「RLOCS」

• 190 ページの 「RLOC_ORIGIN」

• 194 ページの 「RPM」

• 195 ページの 「RPM_GRID」

• 200 ページの 「U_SET」

Vivado プロパティ  リファレンス japan.xilinx.com 125UG912 (v2014.2) 2014 年 8 月 5 日

Page 126: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

HIODELAY_GROUP

HIODELAY_GROUP は IDELAYCTRL コンポーネン ト を関連する IDELAY または ODELAY インスタンス と と もにグループにま とめ、 配置および複製が正し く行われるよ うにするプロパティです。

HIODELAY_GROUP を使用して IDELAYCTRL にグループ名を割り当てる場合は、 同じ HIODELAY_GROUP プロパティを使用してそのグループに IDELAY または ODELAY セルも関連付ける必要があ り ます。

重要 : HIODELAY_GROUP には複数のセルを含めるこ とができますが、1 つのセルに 1 つの HIODELAY_GROUP しか割り当てられません。

次の例は、 set_property を使用して、 特定の IDELAYCTRL に関連付けられている IDELAY/ODELAY エレ メン ト をすべてグループにま とめています。

set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_IDELAYCTRL_inst]set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_IDELAY_inst]set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_ODELAY_inst]

HIODELAY_GROUP と  IODELAY_GROUP の相違点

HIODELAY_GROUP は各階層に 1つしか使用できません。 HIODELAY_GROUP は、 次の場合に使用します。

• IDELAYCTRL を含む 1 モジュールのインスタンスが複数ある場合

および

• ほかの論理階層にある IDELAY または ODELAY と、 そのインスタンスをま とめるつも りがない場合

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° IDELAY、 ODELAY、 または IDELAYCTRL インスタンス

指定したグループ名

構文

Verilog 構文

Verilog 属性は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンシエーシ ョ ン直前に配置します。

(* HIODELAY_GROUP = "value" *)

Vivado プロパティ  リファレンス japan.xilinx.com 126UG912 (v2014.2) 2014 年 8 月 5 日

Page 127: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

Verilog の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL// IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control// Virtex-7// Xilinx HDL Language Template, version 2014.1// Specifies DDR_INTERFACE group name for IDELAYs/ODELAYs and IDELAYCTRL (* HIODELAY_GROUP = “DDR_INTERFACE” *) IDELAYCTRL DDR_IDELAYCTRL_inst ( .RDY(), // 1-bit output:Ready output .REFCLK(REFCLK), // 1-bit input:Reference clock input .RST(1’b0) // 1-bit input:Active high reset input ); // End of DDR_IDELAYCTRL_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HIODELAY_GROUP : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute HIODELAY_GROUP of instance_name : label is "group_name";

説明 :

• instance_name はインスタンシエート済みの IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

VHDL の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLattribute HIODELAY_GROUP :STRING;attribute HIODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE";begin -- IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 DDR_IDELAYCTRL_inst :IDELAYCTRL port map ( RDY => open, -- 1-bit output:Ready output REFCLK => REFCLK, -- 1-bit input:Reference clock input RST => ‘0’ -- 1-bit input:Active high reset input ); -- End of DDR_IDELAYCTRL_inst instantiation

XDC 構文

set_property HIODELAY_GROUP group_name [get_cells instance_name]

説明 :

• instance_name は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

XDC の構文例

# Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLset_property HIODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst]

Vivado プロパティ  リファレンス japan.xilinx.com 127UG912 (v2014.2) 2014 年 8 月 5 日

Page 128: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

影響のある処理

place_design

関連項目

142 ページの 「IODELAY_GROUP」

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 18]を参照してください。

• IDELAYCTRL

• IDELAYE2

• ODELAYE2

HLUTNM

HLUTNM を使用する と、互換性のある入力を持つ 2 つの LUT5、SRL16 または LUTRAM コンポーネン トが同じ LUT6サイ トに配置されます。階層ごとに HLUTNM を使用して、 どちら も同じグループ名で互換性のあるインスタンス タイプである必要があ り ます。

HLUTNM と  LUTNM の相違点

HLUTNM は各階層に 1 つしか使用できません。

• 複数の LUT コンポーネン ト を含む 1 モジュールの複数のインスタンスをグループにまとめる場合に HLUTNMを使用します。

• 別の階層にある 2 つの LUT コンポーネン ト を同じグループにま とめる場合は LUTNM を使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° LUT (LUT1、 LUT2、 LUT3、 LUT4、 LUT5)

° SRL (SRL16E)

° LUTRAM (RAM32X1S)

一意のグループ名

Vivado プロパティ  リファレンス japan.xilinx.com 128UG912 (v2014.2) 2014 年 8 月 5 日

Page 129: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

Verilog 属性は LUT のインスタンシエーシ ョ ン直前に配置します。

Verilog 属性は、 同じ論理階層のペアで使用する必要があ り ます。

(* HLUTNM = "group_name" *)

Verilog の構文例

// Designates state0_inst to be placed in same LUT6 as state1_inst // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2014.1 (* HLUTNM = "LUT_group1" *) LUT5 #( .INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst ( .O(state_out[0]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state0_inst instantiation // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2014.1 (* HLUTNM = "LUT_group1" *) LUT5 #( .INIT(32'h00330073) // Specify LUT Contents ) state1_inst ( .O(state_out[1]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state1_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HLUTNM : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute HLUTNM of instance_name : label is "group_name";

説明 :

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

VHDL 属性は、 同じ論理階層のペアで使用する必要があ り ます。

Vivado プロパティ  リファレンス japan.xilinx.com 129UG912 (v2014.2) 2014 年 8 月 5 日

Page 130: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL の構文例

-- Designates state0_inst to be placed in same LUT6 as state1_instattribute HLUTNM : string;attribute HLUTNM of state0_inst : label is "LUT_group1";attribute HLUTNM of state1_inst : label is "LUT_group1";begin -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 state0_inst :LUT5 generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state0_inst instantiation -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 State1_inst :LUT5 generic map ( INIT => X"00330073") -- Specify LUT Contents port map ( O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation

XDC 構文

set_property HLUTNM group_name [get_cells instance_name]

説明 :

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

XDC の構文例

# Designates state0_inst LUT5 to be placed in same LUT6 as state1_instset_property HLUTNM LUT_group1 [get_cells state0_inst]set_property HLUTNM LUT_group1 [get_cells state1_inst]

Vivado プロパティ  リファレンス japan.xilinx.com 130UG912 (v2014.2) 2014 年 8 月 5 日

Page 131: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

影響のある処理

• place_design

関連項目

• 157 ページの 「LUTNM」

IBUF_LOW_PWR

IBUF_LOW_PWR プロパティは、 パフォーマンス と消費電力のト レードオフをオプシ ョ ンで提供します。

IBUF_LOW_PWR プロパティは入力ポートに適用されます。デフォルトでは TRUE に設定され、低電力モードでそのポートに対して入力バッファーがインプ リ メン ト されます。 FALSE に設定した場合は、 ハイ パフォーマンス モードになり ます。

消費電力の変更は XPE (XPower Estimator) または Vivado Design Suite の report_power コマンドを使用して予測できます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• SSTL や HSTL などの VREF ベースの I/O 規格、または LVDS や DIFF_HSTL などの差動規格が指定されている入力ポート (get_ports)

• TRUE :低電力モードでそのポートに対して入力または双方向バッファーをインプリ メン ト します。これがデフォルト値です。

• FALSE :ハイ パフォーマンス モードで入力または双方向バッファーをインプリ メン ト します。

構文

Verilog 構文

推論されてインスタンシエート された入力バッファーおよび双方向バッファーの場合、 適切な Verilog 属性構文を上位出力ポート宣言の前に配置します。

(* IBUF_LOW_PWR = "FALSE" *)

Verilog の構文例

// Sets the input buffer to high performance(* IBUF_LOW_PWR = "FALSE" *) input STATE,

Vivado プロパティ  リファレンス japan.xilinx.com 131UG912 (v2014.2) 2014 年 8 月 5 日

Page 132: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 構文

推論されてインスタンシエート された入力バッファーの場合、適切な VHDL 属性構文を 上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言して指定します。

attribute IBUF_LOW_PWR : boolean;attribute IBUF_LOW_PWR of port_name : signal is TRUE | FALSE;

説明 :

• port_name は 上位出力ポートです。

VHDL の構文例

STATE : in std_logic;attribute IBUF_LOW_PWR : boolean;-- Sets the input buffer to high performanceattribute IBUF_LOW_PWR of STATE : signal is FALSE;

XDC 構文

DIRECTION が IN または INOUT のポート オブジェク トに IBUF_LOW_PWR をプロパティ と して割り当てます。

set_property IBUF_LOW_PWR TRUE [get_ports port_name]

説明 :

• set_property IBUF_LOW_PWR はポート オブジェク トに割り当てるこ とができます。

• port_name は入力または双方向ポートです。

影響のある処理

• report_power

• report_timing

関連項目

• 144 ページの 「IOSTANDARD」

Vivado プロパティ  リファレンス japan.xilinx.com 132UG912 (v2014.2) 2014 年 8 月 5 日

Page 133: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

IN_TERM

IN_TERM は、 キャ リブレート されない入力終端のインピーダンス値を指定し、 ハイ レンジ (HR) バンク入力でのみサポー ト されています。 ハイ パフォーマンス (HP) バン クの入力には、 オンチップ終端の DCI (Digital ControlledImpedance) の 「IOSTANDARD」 を使用します。

重要 : UltraScale アーキテクチャの場合、 キャ リブレート されない終端を指定するには、 IN_TERM ではなく 「ODT」を使用してください。

終端は常に入力にあ り、 また出力バッファーが ト ラ イステートになっている場合は双方向ピンにあ り ます。 しかし、キャ リブレート されない分割終端オプシ ョ ンと、 ト ラ イステートの分割終端 DCI との重要な違いは、 DCI の場合は、VRN および VRP ピンでの外部基準抵抗にキャ リブレートするのですが、 この機能の場合は、 温度、 プロセス、 電圧の変動を補正するためのキャ リブレーシ ョ ン ルーチンのない内部抵抗を使用する点です。 このオプシ ョ ンには、 40、50、 60オームのテブナン等価抵抗値を指定できます。詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』(UG471) [参照 2] を参照してください。

アーキテクチャ  サポート

ハイ レンジ (HR) バンク入力でのみ 7 シ リーズ デバイスはサポート されています。

適用可能なオブジェク ト

• ポート (get_ports)

° 接続された入力ポート または双方向ポート

• NONE (デフォルト )

• UNTUNED_SPLIT_40

• UNTUNED_SPLIT_50

• UNTUNED_SPLIT_60

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を 上位入力または双方向ポート宣言の前に配置します。

(* IN_TERM = "{NONE|UNTUNED_SPLIT_40|UNTUNED_SPLIT_50|UNTUNED_SPLIT_60}" *)

Verilog の構文例

// Sets an on-chip input impedance of 50 Ohms to input ACT5(* IN_TERM = "UNTUNED_SPLIT_50" *) input ACT5,

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を 上位入力または双方向ポート宣言の前に配置します。

Vivado プロパティ  リファレンス japan.xilinx.com 133UG912 (v2014.2) 2014 年 8 月 5 日

Page 134: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 属性は次のよ うに宣言します。

attribute IN_TERM : string;

VHDL 属性は次のよ うに指定します。

attribute IN_TERM of port_name : signal is value;

説明 :

• port_name は 上位の入力または双方向ポートです。

VHDL の構文例

ACT5 : in std_logic;attribute IN_TERM : string;-- Sets an on-chip input impedance of 50 Ohms to input ACT5attribute IN_TERM of ACT5 : signal is “UNTUNED_SPLIT_50”;

XDC 構文

set_property IN_TERM value [get_ports port_name]

説明 :

• IN_TERM はポート オブジェク ト、 およびポート オブジェク トに接続されているネッ トに割り当てるこ とができます。

• port_name は入力または双方向ポートです。

XDC の構文例

# Sets an on-chip input impedance of 50 Ohms to input ACT5set_property IN_TERM UNTUNED_SPLIT_50 [get_ports ACT5]

影響のある処理

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

関連項目

• 107 ページの 「DCI_CASCADE」

• 108 ページの 「DIFF_TERM」

Vivado プロパティ  リファレンス japan.xilinx.com 134UG912 (v2014.2) 2014 年 8 月 5 日

Page 135: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

INTERNAL_VREF

INTERNAL_VREF は、 バンクの内部レギュレーターの使用を指定して、 基準電圧を必要とする規格の基準電圧を供給します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• I/O バンク (get_iobanks)

• 0.60

• 0.675

• 0.75

• 0.90

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property INTERNAL_VREF {value} [get_iobanks bank]

説明 :

• value は基準電圧値です。

XDC の構文例

# Designate Bank 14 to have a reference voltage of 0.75 Voltsset_property INTERNAL_VREF 0.75 [get_iobanks 14]

影響のある処理

• I/O 配置

• place_design

Vivado プロパティ  リファレンス japan.xilinx.com 135UG912 (v2014.2) 2014 年 8 月 5 日

Page 136: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• DRC

• report_power

IP_REPO_PATHS

このプロパティを使用する と、 Vivado Design Suite で使用するカスタム IP カタログを作成できます。

IP_REPO_PATHS プロパティは、サードパーティ またはユーザー定義の IP を含む 1 つまたは複数のディ レク ト リへのパスを定義します。 指定したディ レク ト リおよび下位ディ レク ト リで IP 定義が検索され、 Vivado Design Suite IP カタログに追加され、 デザイン入力や IP インテグレーター機能で使用できるよ うになり ます。

このプロパティは、 現在のプロジェク トの現在のファイルセッ トに割り当てられます。

ヒン ト : IP_REPO_PATHS プロパテ ィが作成時にそれぞれのの新規プロジェ ク ト に割 り当てられる よ う に VivadoDesign Suite を設定するには、 Vivado IDE で [Tools] →[Options] → [General] → [IP Catalog] フ ィールドの [Default IPRepository Search Paths] でパスを指定します。 このデフォルトの IP リ ポジ ト リ検索パスは、 vivado.ini ファ イルに保存され、 IP_REPO_PATHS を使用して新しいプロジェク トに追加されます。

IP_REPO_PATHS は <component>.xml ファ イルを検索します。 この <component> はカタログに追加する IP の名前です。 XML ファ イルでは、 IP を定義するさまざまなファイルが識別されます。 IP_REPO_PATHS プロパティでは、 リポジ ト リの各 IP の XML ファ イルを直接指定する必要はあ り ません。 IP カタログが指定した IP リ ポジ ト リの下位フォルダーから、 カタログに追加する IP が検索されます。

重要 : IP_REPO_PATHS プロパティに IP カタログへ追加される新しい IP リ ポジ ト リ ディ レク ト リが含まれるよ うに設定したら、 update_ip_catalog コマンドを使用する必要があ り ます。

リ ポジ ト リのサードパーティ またはユーザー定義 IP で現在のプロジェク ト またはデザインで使用中のデバイスの製品ファ ミ リがサポート される場合、 その IP はカタログに互換性のある IP と して追加されます。 IP の互換性にターゲッ ト パーツが含まれない場合、 その IP が現在のプロジェク ト またはデザインと互換性がなく、 IP カタログには表示されないこ とがあ り ます。詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 10] を参照してください。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• current_fileset

• <dir_name> - ユーザー定義 IP が格納される 1 つまたは複数のディ レク ト リ名を指定します。ディ レク ト リ名は、相対的または絶対的に指定でき、 別々に指定するか、 スペースで区切って指定し、 波かっこ { } か二重引用符 " "で囲む必要があ り ます。

Vivado プロパティ  リファレンス japan.xilinx.com 136UG912 (v2014.2) 2014 年 8 月 5 日

Page 137: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property IP_REPO_PATHS {<ip_directories>} [current_fileset]

説明 :

• <ip_directories> は、 サードパーティ またはユーザー定義のパッケージされた IP 定義を含むディレク ト リ を 1 つまたは複数指定します。

XDC の構文例

set_property IP_REPO_PATHS {c:/Data/Designs C:/myIP} [current_fileset]update_ip_catalog

使用可能な段階

デザイン入力

IO_BUFFER_TYPE

重要 : 入力および出力ポートには、 「BUFFER_TYPE」 ではなく IO_BUFFER_TYPE プロパティを使用してください。

IO_BUFFER_TYPE は 上位ポートに設定し、 バッファーを使用するかど うかを指定します。

デフォル ト では、 Vivado 合成で入力ポートには入力バッファーが、 出力ポートには出力バッファーが推論されますが、 IO_BUFFER_TYPE プロパティを使用する と、 このデフォルト ビヘイビアーを無効にできます。

IO_BUFFER_TYPE 属性は 上位クロ ッ ク ポートに設定できます。RTL でのみ設定可能で、XDC では現在のと ころサポート されていません。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• BUFFER_TYPE 属性は 上位ポート (all_inputs、 all_outputs、 get_ports) に設定できます。

Vivado プロパティ  リファレンス japan.xilinx.com 137UG912 (v2014.2) 2014 年 8 月 5 日

Page 138: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

値 

• NONE : この値を入力ポート または出力ポートに指定する と、 入力または出力バッファーは推論されなくな り ます。

構文 

Verilog 構文

(* io_buffer_type = "none" *) input in1;

VHDL 構文

entity test is port(

in1 : std_logic_vector (8 downto 0);

clk : std_logic;

out1 : std_logic_vector(8 downto 0));

attribute io_buffer_type : string;

attribute io_buffer_type of out1: signal is "none";

end test;

XDC 構文

該当なし

影響のある処理

• 合成

関連項目 

96 ページの 「BUFFER_TYPE」

99 ページの 「CLOCK_BUFFER_TYPE」

Vivado プロパティ  リファレンス japan.xilinx.com 138UG912 (v2014.2) 2014 年 8 月 5 日

Page 139: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

IOB

IOB を使用する と、Vivado ツールで入力または出力ロジッ ク ブロ ッ ク (I/O ブロッ クまたは IOB) に接続されたレジスタが配置されるよ うにな り、 タイ ミ ングが改善されます。 この属性は、 I/O バッファーに配置するレジスタに接続されたポートに設定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° レジスタに接続されるポートすべて

• FALSE (デフォルト )

• TRUE

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を 上位ポート宣言の前に配置します。

(* IOB = "{TRUE|FALSE}" *)

Verilog の構文例

// Place the register connected to ACK in the input logic site(* IOB = "TRUE" *) input ACK,

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を 上位ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言して指定します。

attribute IOB : string;attribute IOB of <port_name>: signal is "{TRUE|FALSE}";

説明 :

• port_name は 上位ポートです。

VHDL の構文例

ACK : in std_logic;attribute IOB : string;-- Place the register connected to ACK in the input logic site

Vivado プロパティ  リファレンス japan.xilinx.com 139UG912 (v2014.2) 2014 年 8 月 5 日

Page 140: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

attribute IOB of ACK: signal is "TRUE";

XDC 構文

set_property IOB value [get_ports port_name]

説明 :

• value は TRUE または FALSE です。

XDC の構文例

# Place the register connected to ACK in the input logic siteset_property IOB TRUE [get_ports ACK]

影響のある処理

• place_design

IOBDELAY

IOBDELAY (Input Output Block Delay) プロパティは、 システム同期データ入力を取り込むための入力ホールド タイムを緩和するため、 ILOGIC ブロ ッ クの遅延の追加 ・削除を指定します。

ILOGIC ブロ ッ クは I/O ブロ ッ ク (IOB) の隣にあ り、 IOB を介して FPGA にデータが入るたびにそのデータを取り込む同期エレ メン ト を含んでいます。7 シ リーズ デバイスの ILOGIC ブロ ッ クは、HP I/O バンクでは ILOGICE2 と して、HR I/O バンクでは ILOGICE3 と してコンフ ィギュレーシ ョ ンするこ とができます。 ILOGICE2 と ILOGICE3 は機能的には同じですが、 ILOGICE3 には IOBDELAY と と もにコンフ ィギュレーシ ョ ンできるゼロ ホールド遅延エレ メン ト(ZHOLD) があるのが違いです。 IOBDELAY の使用については、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイド』 (UG471)[参照 2]または 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571)[参照 6] を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• 入力バッファー (get_cells)

• ネッ ト (get_nets)

• NONE :IBUF および入力フ リ ップフロ ップ (IFD) パスの両方に対し、 遅延を OFF に設定します。

• IBUF

° I/O コンポーネン ト内の任意のレジスタに対し、 遅延を OFF に設定します。

° ILOGIC ブロ ッ クまでのバッファーの付いたパスに対し、 遅延を ON に設定します。

• IFD

° I/O コンポーネン ト内の IFF レジスタに対し、 遅延を ON に設定します。

Vivado プロパティ  リファレンス japan.xilinx.com 140UG912 (v2014.2) 2014 年 8 月 5 日

Page 141: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

° ILOGIC ブロ ッ クまでのバッファーの付いたパスに対し、 遅延を OFF に設定します。

• BOTH :IBUF および IFD パスの両方に対し、 遅延を ON に設定します。

構文

Verilog 構文

Verilog 制約をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。

Verilog 制約は次のよ うに指定します。

(* IOBDELAY = {NONE|BOTH|IBUF|IFD} *)

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute iobdelay: string;

VHDL 制約は次のよ うに指定します。

attribute iobdelay of {component_name |label_name }:{component|label} is “{NONE|BOTH|IBUF|IFD}”;

XDC 構文

set_property IOBDELAY value [get_cells cell_name]

説明 :

• value には、 NONE、 IBUF、 IFD、 BOTH のいずれかが入り ます。

XDC の構文例

set_property IOBDELAY "BOTH" [get_nets {data0_I}]

影響のある処理

• タイ ミ ング

• 配置

• 配線

Vivado プロパティ  リファレンス japan.xilinx.com 141UG912 (v2014.2) 2014 年 8 月 5 日

Page 142: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

IODELAY_GROUP

IODELAY_GROUP は IDELAYCTRL セルを関連する IDELAY および ODELAY セルと と もにグループにまとめ、 配置および複製が正し く行われるよ うにします。

IODELAY_GROUP を使用して IDELAYCTRL にグループ名を割り当てる場合は、同じ IODELAY_GROUP プロパティを使用してそのグループに IDELAY または ODELAY セルも関連付ける必要があ り ます。

重要 : IODELAY_GROUP には複数のセルを含めるこ とができますが、 1 つのセルに 1 つの IODELAY_GROUP しか割り当てられません。 .

次の例は、 set_property を使用して、 特定の IDELAYCTRL に関連付けられている IDELAY/ODELAY エレ メン ト をすべてグループにま とめています。

set_property IODELAY_GROUP IO_DLY1 [get_cells MY_IDELAYCTRL_inst]set_property IODELAY_GROUP IO_DLY1 [get_cells MY_IDELAY_inst]set_property IODELAY_GROUP IO_DLY1 [get_cells MY_ODELAY_inst]

IODELAY_GROUP と  HIODELAY_GROUP の相違点 

IODELAY_GROUP では階層を越えて複数のエレ メン ト をグループにま とめるこ とができます。 階層の異なる I/O 遅延コンポーネン ト をグループにまとめるには IODELAY_GROUP を使用します。

HIODELAY_GROUP は、 同じ階層モジュールにある I/O 遅延コンポーネン ト をグループにまとめます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° IDELAY、 ODELAY、 または IDELAYCTRL インスタンス

指定したグループ名

構文

Verilog 構文

Verilog 属性は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンシエーシ ョ ン直前に配置します。

(* IODELAY_GROUP = "value" *)

Verilog の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL// IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control

Vivado プロパティ  リファレンス japan.xilinx.com 142UG912 (v2014.2) 2014 年 8 月 5 日

Page 143: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

// Virtex-7// Xilinx HDL Language Template, version 2014.1// Specifies DDR_INTERFACE group name for IDELAYs/ODELAYs and IDELAYCTRL (* IODELAY_GROUP = “DDR_INTERFACE” *) IDELAYCTRL DDR_IDELAYCTRL_inst ( .RDY(), // 1-bit output:Ready output .REFCLK(REFCLK), // 1-bit input:Reference clock input .RST(1’b0) // 1-bit input:Active high reset input ); // End of DDR_IDELAYCTRL_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute IODELAY_GROUP : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute IODELAY_GROUP of instance_name : label is "group_name";

説明 :

• instance_name はインスタンシエート済みの IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

VHDL の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLattribute IODELAY_GROUP :STRING;attribute IODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE";begin -- IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 DDR_IDELAYCTRL_inst :IDELAYCTRL port map ( RDY => open, -- 1-bit output:Ready output REFCLK => REFCLK, -- 1-bit input:Reference clock input RST => ‘0’ -- 1-bit input:Active high reset input ); -- End of DDR_IDELAYCTRL_inst instantiation

XDC 構文

set_property IODELAY_GROUP group_name [get_cells instance_name]

説明 :

• group_name はユーザー指定の IODELAY_GROUP 名です。

• instance_name は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

XDC の構文例

# Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLset_property IODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst]

Vivado プロパティ  リファレンス japan.xilinx.com 143UG912 (v2014.2) 2014 年 8 月 5 日

Page 144: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

影響のある処理

• 配置

関連項目

• 126 ページの 「HIODELAY_GROUP」

• 詳細は、『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 18]を参照してください。

° IDELAYCTRL

° IDELAYE2

° ODELAYE2

IOSTANDARD

IOSTANDARD は、 ターゲッ ト デバイスで入力、 出力、 または双方向ポート をコンフ ィギュレーシ ョ ンするのにどのプログラマブル I/O 規格を使用するかを指定します。

重要 : Vivado Design Suite がデザイ ンから ビ ッ ト ス ト リ ームを生成する前に、 I/O バン ク のすべてのポー ト でIOSTANDARD を定義する必要があ り ます。 ただし、 IOSTANDARD は GT または XADC には適用できません。

1 つの I/O バンクに複数の異なる IOSTANDARD を含めるこ とができますが、 これらの IOSTANDARD には互換性がなくてはな り ません。 1 つの I/O バンクに異なる入力、 出力、 双方向 I/O 規格を組み合わせる場合は、 次のルールに従ってください。

1. 同じ出力 CCO 要件を持つ出力規格は、 同じバンクにま とめるこ とができます。

2. 同じ CCO および VREF要件を持つ入力規格は、 同じバンクにま とめるこ とができます。

3. 同じ CCO 要件を持つ入力規格および出力規格は、 同じバンクにま とめるこ とができます。

4. ほかの規格と双方向 I/O 規格を組み合わせる場合は、 双方向規格が 初の 3 つのルールに沿っているこ とを確認してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 任意のポート - I/O ポートの RTL ソースで IOSTANDARD を定義するか、 ポート セルの XDC 制約と して定義します。

ターゲッ トにするザイ リ ンクス FPGA によって有効な I/O 規格は異なり ます。 デバイス別の IOSTANDARD 値については、 『7 シ リ ーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)[参照 2]または 『UltraScale アーキテクチャSelectIO リ ソース ユーザー ガイ ド』 (UG571)[参照 6] を参照してください。

Vivado プロパティ  リファレンス japan.xilinx.com 144UG912 (v2014.2) 2014 年 8 月 5 日

Page 145: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

このパラ メーターを設定するには、 適切な Verilog 構文を 上位ポート宣言の前に配置します。

(* IOSTANDARD = "value" *)

Verilog の構文例

// Sets the I/O Standard on the STATUS output to LVCMOS12(* IOSTANDARD = "LVCMOS12" *) output STATUS,

VHDL 構文

適切な VHDL 属性構文を 上位ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言して指定します。

attribute IOSTANDARD : string;attribute IOSTANDARD of <port_name>: signal is "<standard>";

説明 :

• port_name は 上位出力ポートです。

VHDL の構文例

STATUS : out std_logic;attribute IOSTANDARD : string;-- Sets the I/O Standard on the STATUS output to LVCMOS12attribute IOSTANDARD of STATUS: signal is "LVCMOS12";

XDC 構文

IOSTANDARD は、 デザイン内のポート オブジェク トに XDC 制約と して定義するこ と もできます。

set_property IOSTANDARD value [get_ports port_name]

説明 :

• port_name は 上位ポートです。

XDC の構文例

# Sets the I/O Standard on the STATUS output to LVCMOS12set_property IOSTANDARD LVCMOS12 [get_ports STATUS]

影響のある処理

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

• DRC レポート

• place_design

Vivado プロパティ  リファレンス japan.xilinx.com 145UG912 (v2014.2) 2014 年 8 月 5 日

Page 146: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

関連項目

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 18]を参照してください。

• OBUF

• OBUFT

• IOBUF

KEEP_COMPATIBLE

FPGA デザイン プロセス中に、 デバイスをよ り大きいものや、 別のパーツに変更する必要が出て く る こ とがあ り ます。 KEEP_COMPATIBLE プロパティでは、 必要に応じてデザインのターゲッ ト デバイスを変更するこ とができるように、現在のデザインと互換性があるはずの 1 つまたは複数のザイ リ ンクス FPGA パーツのリ ス ト を定義します。 これによ り、 指定したデバイス間には互換性のない IO または PACKAGE_PINS が使用されないよ うにな り、 デザインが現在のパーツまたは互換性のあるパーツにマップできるよ うになり ます。

KEEP_COMPATIBLE プロパティを使用する と、 I/O ピン割り当てが指定した互換性のあるデバイスのリ ス ト間で動作するよ うに、 デザイン フローの早期段階で互換性のある代替デバイスを定義できます。 Vivado Design Suite では、 全パーツで共通ではないピンへ I/O ポートが割り当てられないよ うにパッケージ ピンに PROHIBIT プロパティが定義されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• current_design

COMPATIBLE_PART は、現在のターゲッ ト パーツのデバイス とパッケージを組み合わせて定義されます。たとえば、xc7k70tfbg676-2 パーツには、 次のよ うなプロパティがあ り ます。

NAME xc7k325tffg676-2DEVICE xc7k325tPACKAGE ffg676COMPATIBLE_PARTS xc7k160tfbg676 xc7k160tffg676 xc7k325tfbg676

xc7k410tfbg676 xc7k410tffg676 xc7k70tfbg676

パーツ オブジェク トの COMPATIBLE_PARTS プロパティでは、 さまざまな DEVICE および PACKAGE がリ ス ト されます。 SPEED は指定されません。 この結果、 互換性のあるパーツは次のよ うにな り ます。

xc7k160tfbg676-1xc7k160tfbg676-2xc7k160tfbg676-2Lxc7k160tfbg676-3xc7k160tffg676-1xc7k160tffg676-2

Vivado プロパティ  リファレンス japan.xilinx.com 146UG912 (v2014.2) 2014 年 8 月 5 日

Page 147: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

xc7k160tffg676-2Lxc7k160tffg676-3xc7k325tfbg676-1xc7k325tfbg676-2xc7k325tfbg676-2Lxc7k325tfbg676-3xc7k410tfbg676-1xc7k410tfbg676-2xc7k410tfbg676-2Lxc7k410tfbg676-3xc7k410tffg676-1xc7k410tffg676-2xc7k410tffg676-2Lxc7k410tffg676-3xc7k70tfbg676-1xc7k70tfbg676-2xc7k70tfbg676-2Lxc7k70tfbg676-3

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property KEEP_COMPATIBLE {value1 value2 valueN} [current_design]

{value1 value2 valueN} は、PART オブジェク トに定義される、1 つまたは複数の COMPATIBLE_PARTS になり ます。現在のデザインのターゲッ ト パーツに対する COMPATIBLE_PART は、 次の Tcl コマンドを使用する と取得できます。

get_property COMPATIBLE_PARTS [get_property PART [current_design]]

XDC の構文例

set_property KEEP_COMPATIBLE {xc7k160tfbg676 xc7k410tffg676} [current_design]

使用可能な段階

• I/O 配置

• 配置

Vivado プロパティ  リファレンス japan.xilinx.com 147UG912 (v2014.2) 2014 年 8 月 5 日

Page 148: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

KEEP_HIERARCHY

KEEP_HIERARCHY は、 適化がバウンダ リ を越えて実行されないよ うにするため、ユーザー階層の保持を指示します。 これでフロアプラン、 解析、 デバッグがしやすくな り ますが、 適化が抑止されるので、 デザインが大き く、 遅くなってしま う こ とがあ り ます。

推奨 : 悪影響が出ないよ うにするには、KEEP_HIERARCHY が適用されているモジュール インスタンスの出力すべてにレジスタを付けます。 この属性は、 合成前に適用する と も効果的です。

KEEP_HIERARCHY は、階層レベルが変更されないよ うにするためのプロパティです。Vivado 合成では、RTL で指定されたのと同じ階層が保持されるよ う試みられますが、QoR (結果の品質) を改善するために階層がフラ ッ トにされたり、 変更されるこ と もあ り ます。

インスタンスに KEEP_HIERARCHY を指定する と、 合成でその階層レベルは変更されません。

これが QoR に影響を与える場合があ り ます。また、 ト ラ イステート出力および I/O バッファーの制御ロジッ クを記述するモジュールには使用しないでください。 KEEP_HIERARCHY は、 モジュール、 アーキテクチャ レベル、 またはインスタンスに指定できます。 RTL でのみ設定可能です。

アーキテクチャ  サポート

すべて

適用可能なオブジェク ト

• セル (get_cells)

° ユーザー定義のインスタンス

• FALSE (デフォルト )

階層を超えて 適化されます。

• TRUE

適化が階層バウンダ リ を超えないよ うになり、 階層が保持されます。

構文

Verilog 構文

Verilog 属性をユーザーの階層インスタンシエーシ ョ ンの直前に配置します。

(* KEEP_HIERARCHY = "{TRUE|FALSE}" *)

Verilog の構文例

// Preserve the hierarchy of instance CLK1_rst_sync(* KEEP_HIERARCHY = "TRUE" *) reset_sync #( .STAGES(5)

Vivado プロパティ  リファレンス japan.xilinx.com 148UG912 (v2014.2) 2014 年 8 月 5 日

Page 149: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

) CLK1_rst_sync ( .RST_IN(RST | ~LOCKED), .CLK(clk1_100mhz), .RST_OUT(rst_clk1) );

モジュールの場合

(* keep_hierarchy = "yes" *) module bottom (in1, in2, in3, in4, out1, out2);

インスタンスの場合

(* keep_hierarchy = "yes" *)bottom u0 (.in1(in1), .in2(in2), .out1(temp1));

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute KEEP_HIERARCHY : string;

VHDL 属性は次のよ うに指定します。

attribute KEEP_HIERACHRY of name: label is "{TRUE|FALSE}";

説明 :

• name はユーザー定義のインスタンスの名前です。

VHDL の構文例

attribute KEEP_HIERARCHY : string;-- Preserve the hierarchy of instance CLK1_rst_syncattribute KEEP_HIERARCHY of CLK1_rst_sync: label is "TRUE";… CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 );

モジュールの場合

attribute keep_hierarchy : string;

attribute keep_hierarchy of beh : architecture is "yes";

インスタンスの場合

attribute keep_hierarchy : string;

attribute keep_hierarchy of u0 : label is "yes";

XDC 構文

set_property KEEP_HIERARCHY {TRUE|FALSE} [get_cells instance_name]

説明 :

• instance_name はレジスタ インスタンスです。

Vivado プロパティ  リファレンス japan.xilinx.com 149UG912 (v2014.2) 2014 年 8 月 5 日

Page 150: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

XDC の構文例

# Preserve the hierarchy of instance CLK1_rst_syncset_property KEEP_HIERARCHY TRUE [get_cells CLK1_rst_sync]

影響のある処理

• デザインのフロアプラン

• opt_design

• phys_opt_design

• synth_design

KEEPER

KEEPER は、 ト ラ イステート出力または双方向ポートにウ ィーク ド ラ イバーを適用し、駆動されていないと きに値を

保持します。 ポートに接続される出力ネッ トの値が保持されます。

たとえば、指定したポート を介してロジッ ク 1 が駆動される場合、 KEEPER はウ ィークまたは抵抗 1 をそのポート まで駆動します。 その後ネッ ト ド ラ イバーが ト ラ イステー ト状態になる と、 KEEPER は接続されたポー ト を介してウ ィークまたは抵抗 1 をネッ トに駆動し続け、 値を保持します。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができます。バッファーに接続されているポート オブジェク トに次のプロパティの 1 つを加えるこ とで、 この機能を使用できます。

• PULLUP

• PULLDOWN

• KEEPER

アーキテクチャ  サポート

すべて

適用可能なオブジェク ト

• ポート (get_ports)

° 上位ポート

• TRUE | YES :指定したポートに接続されたネッ トの値を保持するためキーパー回路を使用します。

• FALSE | NO :キーパー回路を使用しません。 デフォルトです。

Vivado プロパティ  リファレンス japan.xilinx.com 150UG912 (v2014.2) 2014 年 8 月 5 日

Page 151: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

Verilog 制約をポート宣言の直前に配置します。

Verilog 制約は次のよ うに指定します。

(* KEEPER = " {YES|NO|TRUE|FALSE}" *)

VHDL 構文

VHDL 制約は次のよ うに宣言して指定します。

attribute keeper: string;attribute keeper of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property KEEPER {TRUE|FALSE} [get_ports port_name]

説明 :

• port_name は、 入力、 出力、 入出力ポートの名前です。

XDC の構文例

# Use a keeper circuit to preserve the value on the specified portset_property KEEPER TRUE [get_ports wbWriteOut]

影響のある処理

• 論理から物理へのマッピング

関連項目

180 ページの 「PULLDOWN」

182 ページの 「PULLUP」

Vivado プロパティ  リファレンス japan.xilinx.com 151UG912 (v2014.2) 2014 年 8 月 5 日

Page 152: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

LOC

LOC は、 ターゲッ トのザイ リ ンクス FPGA のデバイス リ ソースにロジッ ク セルを配置します。

推奨 : デバイス パッケージの物理的ピンに I/O ポート を割り当てるには、 LOC ではな く PACKAGE_PIN プロパティを使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° プリ ミ ティブ セルすべて

サイ ト名 (SLICE_X15Y14 または RAMB18_X6Y9 など)

構文

Verilog 構文

Verilog 属性はコンポーネン トのインスタンシエーシ ョ ンの直前に配置します。

推論されたレジスタの SRL または LUTRAM の reg を 1 つのデバイス サイ トに配置できる場合は、 この Verilog 属性はその reg 宣言前にも配置できます。

(* LOC = "site_name" *)// Designates placed_reg to be placed in Slice site SLICE_X0Y0(* LOC = "SLICE_X0Y0" *) reg placed_reg;

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute LOC : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute LOC of instance_name : label is "site_name";

Vivado プロパティ  リファレンス japan.xilinx.com 152UG912 (v2014.2) 2014 年 8 月 5 日

Page 153: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

説明 :

• instance_name はインスタンシエート済みプリ ミ ティブのインスタンス名です。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed-- in Slice site SLICE_X0Y0attribute LOC of placed_reg : label is "SLICE_X0Y0";

推論済みインスタンスの場合、 VHDL 属性は次のよ うに指定します。

attribute LOC of signal_name : signal is "site_name";

説明 :

• signal_name は 1 つのサイ トに配置可能な推論済みプリ ミ ティブの信号名です。

VHDL の構文例

-- Designates inferred register placed_reg to be placed in Slice site SLICE_X0Y0attribute LOC of placed_reg : signal is "SLICE_X0Y0";

XDC 構文

set_property LOC site_name [get_cells instance_name]

説明 :

• instance_name はプリ ミ ティブ インスタンスです。

XDC の構文例

# Designates placed_reg to be placed in Slice site SLICE_X0Y0set_property LOC SLICE_X0Y0 [get_cells placed_reg]

影響のある処理

• デザインのフロアプラン

• place_design

関連項目

• 93 ページの 「BEL」

• 167 ページの 「PACKAGE_PIN」

• 170 ページの 「PBLOCK」

Vivado プロパティ  リファレンス japan.xilinx.com 153UG912 (v2014.2) 2014 年 8 月 5 日

Page 154: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

LOCK_PINS

LOCK_PINS はセル プロパティで、ザイ リ ンクス FPGA デバイス リ ソースの物理 LUT 入力 (A6、 A5、 A4...) への論理LUT 入力 (I0、 I1、 I2...) のマッピングを指定します。 タイ ミ ング ク リ ティカルな LUT 入力を、 高速の A6 および A5の物理 LUT 入力にマップするために使用するのが一般的です。

デフォルトでは、LUT ピンは番号の大きいものから小さいものへ順番にマップされます。 大番号の論理ピンは 大番号の物理ピンにマップされます。

• A6LUT に配置された LUT6 のデフォルトのピン マッピングは次のよ うになり ます。

I5:A6 I4:A5 I3:A4 I2:A3 I1:A2 I0:A1

• A5LUT に配置された LUT5 のデフォルトのピン マッピングは次のよ うになり ます。

I5:A5 I4:A4 I3:A3 I2:A2 I1:A1

• A6LUT に配置された LUT2 のデフォルトのピン マッピングは次のよ うになり ます。

I1:A6 I0:A5

LOCK_PINS プロパティは Vivado 配線で使用されますが、 タイ ミ ングを改善できるよ う な場合でも、 ロ ッ ク されている LUT でのピン マッピングは変更されません。 LOCK_PINS は指定配線でも重要です。 指定配線によ り接続されているピンが別のピンと スワ ップされる場合、 指定配線はその LUT の接続と一致しな くな り、 エラーにな り ます。 指定配線ネッ トによ り駆動される LUT セルすべてのピンは、 LOCK_PINS を使用してロ ッ ク しておく必要があ り ます。指定配線の詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 13]を参照してください。

注記 : DONT_TOUCH は LOCK_PINS を暗示しません。

「phys_opt_design -critical_pin_opt」 とい う コマンドで 適化を実行する場合、 LOCK_PINS プロパティが設定されているセルは 適化されず、 LOCK_PINS で指定されている ピン マ ッ ピングは保持されます。phys_opt_design コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 9] を参照してください。

LOCK_PINS プロパティがセルから削除される と、 ピン マッピングは消去され、 ピンを自由にスワップできるよ うになり ます。 しかし、 現行のピン割り当てはすぐに変更にはなり ません。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• LUT セル (get_cells)

• LOCK_PINS {I0:A6 I1:A5} :1 つまたは複数のピン マッピング ペア。論理ピンと物理ピンのペアを使用して、LUT 論理ピンが LUT 物理ピンに割り当てられます。

° LOCK_PINS の値にはピン マッピングを順不同にリ ス トでき、 HDL の場合はカンマで、 XDC の場合はホワイ ト スペースで区切り ます。

° インスタンス ピンの範囲は LUT1 の場合は I0、 LUT6 の場合は I0 から I5 までになり ます。 物理ピンの範囲は、 LUT6 の場合は A6 ( 速) から A1 まで、 LUT5 の場合は A5 ( 速) から A1 までになり ます。

Vivado プロパティ  リファレンス japan.xilinx.com 154UG912 (v2014.2) 2014 年 8 月 5 日

Page 155: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

ヒン ト : ISE でサポート されている ALL の値、 または ALL を暗示する値なしは、 Vivado Design Suite ではサポート されていません。 すべてのピンをロ ッ クするには、 各ピンを明示的に指定する必要があ り ます。 リ ス ト されていない論理ピンは、 デフォルトのマッピングで物理ピンにマップされます。

構文

Verilog 構文

LOCK_PINS の値は Verilog 属性と して、 インスタンシエート された LUT セル (LUT6、 LUT5 など) に配置して割り当てるこ とができます。

次の例は、 LOCK_PINS を使用して、 I1 を A5 に、 I2 を A6 にピン マップし、 LUT_inst_0 という LUT セルに配置しています。

(* LOCK_PINS = "I1:A5, I2:A6" *) LUT6 #(.INIT(64'h1) ) LUT_inst_0 (...

Verilog 例

module top ( i0, i1, i2, i3, i4, i5, o0); input i0; input i1; input i2; input i3; input i4; input i5; output o0;

(* LOCK_PINS = "I1:A5,I2:A6" *) LUT6 #( .INIT(64'h0000000000000001)) LUT_inst_0 (.I0(i0), .I1(i1), .I2(i2), .I3(i3), .I4(i4), .I5(i5), .O(o0));endmodule

VHDL 構文

LOCK_PINS の値は VHDL 属性と して、 インスタンシエート された LUT セル (LUT6、 LUT5 など) に配置して割り当てるこ とができます。

次の例は、 LOCK_PINS を使用して、 I1 を A5 に、 I2 を A6 にピン マップし、 LUT_inst_0 という LUT セルに配置しています。

attribute LOCK_PINS : string;

Vivado プロパティ  リファレンス japan.xilinx.com 155UG912 (v2014.2) 2014 年 8 月 5 日

Page 156: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

attribute LOCK_PINS of LUT_inst_0 : label is "I1:A5, I2:A6";...

VHDL の例

entity top is port ( i0, i1, i2, i3, i4, i5 : in std_logic; o0 : out std_logic);end entity top;

architecture struct of top is

attribute lock_pins : string; attribute lock_pins of LUT_inst_0 : label is "I1:A5, I2:A6";

begin LUT_inst_0 :LUT6 generic map ( INIT => "1" ) port map ( I0 => i0, I1 => i1, I2 => i2, I3 => i3, I4 => i4, I5 => i5, O => o0 );end architecture struct;

XDC 構文

Vivado Design Suite で set_property という Tcl コマンドを使用し、LUT セルに LOCK_PINS プロパティを設定できます。

set_property LOCK_PINS {pin pairs} [get_cells instance_name]

説明 :

• instance_name には LUT セルが 1 つまたは複数入り ます。

重要 : XDC の場合は Tcl リ ス ト構文に合わせるため、ピン ペアをホワイ ト スペースで区切る必要があ り ますが、HDL構文の場合はカンマで値を区切る必要があ り ます。

XDC の構文例

% set myLUT2 [get_cells u0/u1/i_365]% set_property LOCK_PINS {I0:A5 I1:A6} $myLUT2% get_property LOCK_PINS $myLUT2I0:A5 I1:A6% reset_property LOCK_PINS $myLUT2% set myLUT6 [get_cells u0/u1/i_768]% set_property LOCK_PINS I0:A6 ; # mapping of I1 through I5 are dont-cares

影響のある処理

• phys_opt_design

Vivado プロパティ  リファレンス japan.xilinx.com 156UG912 (v2014.2) 2014 年 8 月 5 日

Page 157: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• route_design

関連項目

• 93 ページの 「BEL」

• 112 ページの 「DONT_TOUCH」

• 152 ページの 「LOC」

LUTNM

LUTNM を使用する と、互換性のある入力を持つ 2 つの LUT5、 SRL16 または LUTRAM コンポーネン トが同じ LUT6サイ トに配置されます。 LUTNM はペアで指定する必要があ り、 どちら も同じグループ名で互換性のあるインスタンス タイプである必要があ り ます。

LUTNM と  HLUTNM の相違点 

HLUTNM は、別のユーザー階層にある 2 つの LUT コンポーネン ト を統合するために使用できます。同じユーザー階層にある 2 つの LUT コンポーネン ト を一緒のグループにする場合は LUTNM を使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° LUT (LUT1、 LUT2、 LUT3、 LUT4、 LUT5)

° SRL (SRL16E)

° LUTRAM (RAM32X1S)

一意のグループ名

構文

Verilog 構文

Verilog 属性は LUT のインスタンシエーシ ョ ン直前に配置します。 Verilog 属性は、 同じ論理階層のペアで使用する必要があ り ます。

(* LUTNM = "group_name" *)

Verilog の構文例

// Designates state0_inst to be placed in same LUT6 as state1_inst

Vivado プロパティ  リファレンス japan.xilinx.com 157UG912 (v2014.2) 2014 年 8 月 5 日

Page 158: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

// LUT5:5-input Look-Up Table with general output (Mapped to a LUT6)(* LUTNM = "LUT_group1" *) LUT5 #( .INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst ( .O(state_out[0]), // LUT general outpu .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state0_inst instantiation // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2014.1 (* LUTNM = "LUT_group1" *) LUT5 #( .INIT(32'h00330073) // Specify LUT Contents ) state1_inst ( .O(state_out[1]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state1_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute LUTNM : string;

インスタンシエート済みインスタンスの場合は、 次のよ うに指定します。

attribute LUTNM of instance_name : label is "group_name";

説明 :

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

VHDL 属性は、 同じ論理階層のペアで使用する必要があ り ます。

VHDL の構文例

-- Designates state0_inst to be placed in same LUT6 as state1_instattribute LUTNM : string;attribute LUTNM of state0_inst : label is "LUT_group1";attribute LUTNM of state1_inst : label is "LUT_group1";begin -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6)state0_inst :LUT5

generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input

Vivado プロパティ  リファレンス japan.xilinx.com 158UG912 (v2014.2) 2014 年 8 月 5 日

Page 159: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state0_inst instantiation -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1 State1_inst :LUT5 generic map ( INIT => X"00330073") -- Specify LUT Contents port map ( O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation

XDC 構文

set_property LUTNM group_name [get_cells instance_name]

説明 :

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

XDC の構文例

# Designates state0_inst LUT5 to be placed in same LUT6 as state1_instset_property LUTNM LUT_group1 [get_cells U1/state0_inst]set_property LUTNM LUT_group1 [get_cells U2/state1_inst]

影響のある処理

• place_design

関連項目

• 「HLUTNM」

Vivado プロパティ  リファレンス japan.xilinx.com 159UG912 (v2014.2) 2014 年 8 月 5 日

Page 160: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

LVDS_PRE_EMPHASIS

UltraScale では、 伝送ラ イ ンで高周波ロ スが発生する高周波信号のシグナル イ ンテグ リ テ ィ を改善するため、LVDS_PRE_EMPHASIS プロパティが使用されます。

ある I/O 規格をインプリ メン トする ド ラ イバーでの伝送ライン ロスを補うため、 LVDS ト ランス ミ ッ ターのプリエンファシスは信号遷移で電圧をブース ト します。DDR4 HP I/O バンクおよび LVDS TX HP/HR I/O バンクのプリエンファシスは、 シンボル間の干渉を低減し、 伝送ライン ロスの影響を 低限に抑えるために使用できます。

ヒン ト : 全体的なシグナル インテグ リ テ ィ を向上させるため、 ト ランス ミ ッ ターでのプ リエンファシスは、 レシーバーでの 「EQUALIZATION」 と組み合わせるこ とができます。

ト ランス ミ ッ ターのプリエンファシスは、レシーバー側でのシグナル インテグ リティにも重要です。プリエンファシスは信号エッジ レート を高め、 それは周辺信号のクロス トーク も高めます。

プ リエンファシスの影響は伝送ライン特性に依存しているため、 影響が 小限のものである こ とを確認するにはシミ ュレーシ ョ ンが必要です。信号をオーバーエンファシスする と、信号の質は改善されるよ りむしろ悪化する可能性があ り ます。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• ポート (get_ports)

• TRUE - 差動入力および双方向バッファーのプリエンファシスをイネーブルにし、 LVDS I/O をインプリ メン ト します。

• FALSE (デフォルト ) - プリエンファシスをイネーブルにしません。

構文

Verilog 構文 

該当なし

VHDL 構文 

該当なし

XDC 構文

XDC ファ イルでは LVDS_PRE_EMPHASIS 属性を次のよ うな構文で使用します。

set_property LVDS_PRE_EMPHASIS <TRUE|FALSE> [get_ports port_name]

説明 :

Vivado プロパティ  リファレンス japan.xilinx.com 160UG912 (v2014.2) 2014 年 8 月 5 日

Page 161: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• set_property LVDS_PRE_EMPHASIS は、 ト ランス ミ ッ ターでプリエンファシスをイネーブルにします。

• port_name は差動バッファーに接続される出力ポート または双方向ポートです。

関連項目 

• 117 ページの 「EQUALIZATION」

• 178 ページの 「PRE_EMPHASIS」

MARK_DEBUG

Vivado ツールのハードウェア マネージャー機能を使用して、デバッグ用に保持する必要があるネッ ト を指定します。これによ り、 適化で指定した信号が削除されなくな り ます。 MARK_DEBUG は、 信号を保持して、 FPGA 操作中にその信号の値を簡単に観察できるよ うにするためのプロパティです。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ネッ ト (get_nets)

° 内部配列にアクセス可能なネッ トすべて

注記 :一部のネッ トには専用コネクティビティなどがあ り、 デバッグのと きに表示されなくなるよ う なものがあ り ます。

• TRUE

• FALSE

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を 上位出力ポート宣言の前に配置します。

(* MARK_DEBUG = "{TRUE|FALSE}" *)

Verilog の構文例

// Marks an internal wire for ChipScope debug(* MARK_DEBUG = "TRUE" *) wire debug_wire,

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を 上位出力ポート宣言の前に配置します。

Vivado プロパティ  リファレンス japan.xilinx.com 161UG912 (v2014.2) 2014 年 8 月 5 日

Page 162: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 属性は次のよ うに宣言します。

attribute MARK_DEBUG : string;

VHDL 属性は次のよ うに指定します。

attribute MARK_DEBUG of signal_name : signal is “{TRUE|FALSE}”;

説明 :

• signal_name は内部信号です。

VHDL の構文例

signal debug_wire : std_logic;attribute MARK_DEBUG : string;-- Marks an internal wire for ChipScope debugattribute MARK_DEBUG of debug_wire : signal is “TRUE”;

XDC 構文

set_property MARK_DEBUG value [get_nets net_name]

説明 :

• net_name は信号名です。

XDC の構文例

# Marks an internal wire for ChipScope debugset_property MARK_DEBUG TRUE [get_nets debug_wire]

影響のある処理

• place_design

• ChipScope

関連項目

• 「DONT_TOUCH」

MAX_FANOUT

MAX_FANOUT は、 レジスタおよび信号のファンアウ トの制限を設定します。 整数値を指定します。

MAX_FANOUT は、合成のグローバル オプシ ョ ン -fanout_limit のデフォルト値よ り も優先されます。デザイン全体のデフ ォル ト 値は、 [Project Settings] ダ イ ア ロ グ ボ ッ ク スの [Synthesis] ページまたは synth_design コマン ド の-fanout_limit オプシ ョ ンを使用して設定します。

重要 : MAX_FANOUT 属性は強制的に適用されますが、 -fanout_limit はガイ ド ラ イン と して使用され、 強制されません。 ファンアウ ト を厳密に制御する必要がある場合は、 MAX_FANOUT を使用して ください。 また、 -fanout_limit オプシ ョ ンとは異なり、 MAX_FANOUT は制御信号にも適用されます。 -fanout_limit オプシ ョ ンは制御信号 (セッ ト、 リ

Vivado プロパティ  リファレンス japan.xilinx.com 162UG912 (v2014.2) 2014 年 8 月 5 日

Page 163: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

セッ ト、ク ロ ッ ク イネーブルなど) には適用されないので、これらの信号を複製する必要がある場合は MAX_FANOUTを使用してください。

この属性は、 レジスタおよび組み合わせ信号にのみ使用できます。 ファンアウ トの制限に従うため、 レジスタまたは組み合わせ信号を駆動する信号が複製されます。 この属性は RTL または XDC で設定できます。

アーキテクチャ

すべてのデバイス

適用可能エレメン ト

• レジスタおよび組み合わせ信号

• <Integer> : 信号を分配するために、 ド ライバーを複製する回数の 大値を指定します。

構文

Verilog 構文

信号

(* max_fanout = 50 *) reg sig1;

VHDL 構文 

signal sig1 : std_logic;

attribute max_fanout : integer;

attribute max_fanout : signal is 50;

XDC 構文

set_property MAX_FANOUT <number> [get_nets -hier <net_name>]

影響のある処理

• 合成

• 適化

Vivado プロパティ  リファレンス japan.xilinx.com 163UG912 (v2014.2) 2014 年 8 月 5 日

Page 164: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

ODT

ODT (On-Die Termination) プロパティは、 サポート されている I/O 規格の DCI (digitally controlled impedance) および非DCI バージ ョ ンの両方に対し、 ODT の値を定義するのに使用します。 外部抵抗ではなく ODT を使用する利点は、 レシーバー側のスタブを完全に除去するこ とでシグナル インテグ リティが改善される点です。

ODT は、 HSTL、 SSTL、 POD、 および HSUL 規格の入力で分割終端またはシングル終端をサポート しています。 I/Oバンクの VCCO は、 予期どおりの動作を得るため、 ODT 属性に合わせた電圧レベルに接続しておく必要があ り ます。I/O 規格に必要な VCCO レベルの詳細については、 『UltraScale SelectIO ユーザー ガイ ド』 (UG571) [参照 6]を参照してください。

パラレル終端をサポートする I/O 規格の場合は、 DCI は VCCO /2 の電圧レベルのテブナン等価抵抗または分割終端抵抗を作成します。 POD および HSUL 規格の場合は、 DCI は VCCO 電圧レベルのシングル終端をサポート しています。終端抵抗の正確な値は ODT の値によって決ま り ます。 分割抵抗の DCI に使用可能な ODT 値は、 RTT_40、 RTT_48、RTT_60、 RTT_NONE です。

注記 : DCI はハイ パフォーマンス (HP) I/O バンクでのみ使用可能です。ハイ レンジ (HR) I/O バンクでは DCI はサポート されていません。

HR および HP の I/O バンクの両方に、オプシ ョ ンのキャ リブレーシ ョ ンなしのオンチップ分割終端機能があ り、 これは、 HSTL および SSTL 規格に対し、 ターゲッ ト抵抗値の 2 倍の抵抗を付加する 2 つの内部抵抗器を使用してテブナン等価回路を作成します。 POD および HSUL の I/O 規格の場合は、 キャ リブレーシ ョ ンなしのオンチップ シングル終端機能があ り ます。終端は常に入力にあ り、 また出力バッファーが ト ライステートになっている場合は双方向ポートにあ り ます。

DCI ベースの I/O 規格を使用するこ とによ り、デザインで DCI またはキャ リブレート なしの終端のどちらが使用されるかが決ま り ます。DCI とキャ リブレート なしの両方の I/O 規格で、終端抵抗の値は ODT 属性によって決ま り ます。

しかし、 キャ リブレート なしのオプシ ョ ンと DCI との重要な違いは、 DCI の場合は、 VRN および VRP ピンでの外部基準抵抗にキャ リブレートするのですが、 キャ リブレート なしの入力終端機能の場合は、 温度、 プロセス、 電圧の変動を補正するためのキャ リブレーシ ョ ン ルーチンのない、ODT 属性によって決定される内部抵抗を使用する点です。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• ポート (get_ports)

° 入力および双方向バッファーに接続されているもの

• RTT_40

• RTT_48

• RTT_60

• RTT_120

• RTT_240

• RTT_NONE

注記 :使用可能な I/O 規格およびコンフ ィギュレーシ ョ ンすべてに対し、 すべての値が使用できるわけではあ りません。

Vivado プロパティ  リファレンス japan.xilinx.com 164UG912 (v2014.2) 2014 年 8 月 5 日

Page 165: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文 

該当なし

VHDL 構文 

該当なし

XDC 構文

XDC ファ イルでは ODT 属性を次のよ うな構文で使用します。

set_property ODT <VALUE> [get_ports port_name]

説明 :

• set_property ODT は ODT をイネーブルにします。

• <Value> には指定の IOSTANDARD に対しサポート されている ODT 値の 1 つが入り ます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

関連項目

• 144 ページの 「IOSTANDARD」

OFFSET_CNTRL

レシーバー オフセッ ト制御である OFFSET_CNTRL は、 プロセス変動を補正するため、 UltraScale デバイスで一部のI/O 規格に対し使用できます。 OFFSET_CNTRL はハイ パフォーマンス (HP) I/O にのみ割り当てるこ とができます。

I/O 規格のサブセッ トの場合、HP I/O バンクで、±35 mV までのプロセス変動が原因で発生する入力バッファーのオフセッ ト をキャンセルするオプシ ョ ンが UltraScale アーキテクチャにはあ り ます。

この機能は入力および双方向バッファー プリ ミ ティブに対し使用できます。

オフセッ ト キャ リブレーシ ョ ンでは、 ユーザーのインターコネク ト ロジッ ク デザインに制御ロジッ クを構築することが求められます。 詳細は、 『UltraScale Series FPGAs SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 6]を参照してください。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• 入力または双方向バッファー (get_cells) :

° IBUFE3

Vivado プロパティ  リファレンス japan.xilinx.com 165UG912 (v2014.2) 2014 年 8 月 5 日

Page 166: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

° IBUFDSE3

° IOBUFE3

° IOBUFDSE3

OFFSET_CNTRL 属性に使用できる値は次のとおりです。

• CNTRL_NONE (デフォルト ) - オフセッ ト キャンセルをイネーブルにしません。

• FABRIC - 任意の I/O バンクでオフセッ ト キャンセルを実行します。

重要 : オフセッ ト キャンセルを実行するには、 デバイスにオフセッ ト制御回路が必要です。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは OFFSET_CNTRL 属性を次のよ うな構文で使用します。

set_property OFFSET_CNTRL value [get_ports port_name]

説明 :

• set_property OFFSET_CNTRL はオフセッ ト キャンセル機能をイネーブルにします。

• <Value> には有効な OFFSET_CNTRL 値のいずれかが入り ます。

• port_name は接続されている入力または双方向ポートです。

影響のある処理

• 配置

• 配線

Vivado プロパティ  リファレンス japan.xilinx.com 166UG912 (v2014.2) 2014 年 8 月 5 日

Page 167: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

PACKAGE_PIN

PACKAGE_PIN では、デバイスの物理的なパッケージ ピンへの論理デザインの 上位ポートの割り当てまたは配置を定義ます。

推奨 : デバイス パッケージの物理的ピンに I/O ポート を割り当てるには、 LOC ではな く PACKAGE_PIN プロパティを使用します。 ターゲッ ト ザイ リ ンクス FPGA のデバイス リ ソースにロジッ ク セルを割り当てるには、 LOC プロパティを使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 上位ポート

パッケージ ピン名

構文

Verilog 構文

Verilog 属性をポート宣言の直前に配置します。

(* PACKAGE_PIN = "pin_name" *)

Verilog の構文例

// Designates port CLK to be placed on pin B26(* PACKAGE_PIN = "B26" *) input CLK;

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute PACKAGE_PIN : string;

VHDL 属性は次のよ うに指定します。

attribute PACKAGE_PIN of port_name : signal is "pin_name";

VHDL の構文例

-- Designates CLK to be placed on pin B26attribute PACKAGE_PIN of CLK : signal is "B26";

Vivado プロパティ  リファレンス japan.xilinx.com 167UG912 (v2014.2) 2014 年 8 月 5 日

Page 168: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

XDC 構文

set_property PACKAGE_PIN pin_name [get_ports port_name]

XDC の構文例

# Designates CLK to be placed on pin B26set_property PACKAGE_PIN B26 [get_ports CLK]

影響のある処理

• ピン配置

• place_design

関連項目

「LOC」

PATH_MODE

PATH_MODE は、ファ イルを探す際またはパス ベースの制約またはプロパティを読み出す際に Vivado Design Suite でパスがどのよ うに処理されるかを決定するプロパティです。

Vivado Design Suite では、 プロジェク トのすべてのファ イル、 およびファ イルとディ レク ト リ を参照するほとんどのプロパティに対して、そのファイルまたはディ レク ト リへの相対パスと絶対パスの両方を格納および維持しよ う と されます。 プロジェ ク ト を開く と、 そのファ イルおよびディ レク ト リ を探すためにこれらのパスが使用されます。 デフォルトでは、 Vivado Design Suite はこれらのパスの検索に [RelativeFirst] が使用されるので、 初に相対パスが、 その後に絶対パスが検索されます。PATH_MODE プロパティを使用する と、Vivado ツールでの指定したオブジェク トのファ イル パスまたはプロパティの処理方法を変更できます。

ヒン ト : 一部のパスでは、特に Windows でパスのド ライブが異なる場合、 Vivado では相対パスが維持できません。 これらの場合、 絶対パスのみが格納されます。

[RelativeFirst] または [AbsoluteFirst] 設定が使用される と、 オブジェク ト を見つけるのに代替パスまたは 2 つ目のパスを使用する必要がある場合、 Vivado ツールで警告が表示されます。

アーキテクチャ  サポート

すべてのデバイス

適用可能なオブジェク ト

• ソース ファ イル (get_files)

Vivado プロパティ  リファレンス japan.xilinx.com 168UG912 (v2014.2) 2014 年 8 月 5 日

Page 169: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• RelativeFirst : プロジェク ト までの相対パスを使用してファイルを見つけます。 このパスを使用してファイルを見つけるこ とができない場合は、 絶対パスを使用します。 これは、 デフォルトの値で、 ほとんどの場合に適した方法です。

• AbsoluteFirst : 絶対パスを使用してファイルを見つけます。 ファ イルを見つけるこ とができない場合は、 相対パスを使用します。 [AbsoluteFirst] または [AbsoluteOnly] は、ファ イルが決まった リポジ ト リに格納されている場合(たとえば、 デザイン グループまたは企業内全員で使用される標準的なファ イル) や IP のライブラ リに向いています。

• RelativeOnly : 相対パスのみを使用してファイルを見つけます。 ファ イルを見つけるこ とができない場合は、 それを示す メ ッ セージが表示され、 フ ァ イルが存在し ないもの と し て処理されます。 [RelativeOnly] または[AbsoluteOnly] 設定は、 同じファ イル名のファ イルが複数あ り、 正しいファ イルを必ず見つける必要のある場合に向いています。

• AbsoluteOnly : 絶対パスのみを使用してファイルを見つけます。 ファ イルを見つけるこ とができない場合は、 それを示すメ ッセージが表示され、 ファ イルが存在しないものと して処理されます。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property PATH_MODE AbsoluteFirst [get_files *IP/*]

影響のある処理

• プロジェク ト管理およびファイルの位置

Vivado プロパティ  リファレンス japan.xilinx.com 169UG912 (v2014.2) 2014 年 8 月 5 日

Page 170: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

PBLOCK

PBLOCK は Vivado Design Suite で Pblock に割り当てられるセルに設定する読み出し専用のプロパティです。

Pblock とはセルの集合体で、 1 つまたは複数の長方形のエ リ ア/領域を指し、 このエ リ アで Pblock に含められるデバイス リ ソースを指定します。 Pblock は、 関連ロジッ クをグループにまとめて、 ターゲッ ト デバイスのある領域にそれを割り当てるため、フロアプランニング中に使用されます。デザインのフロアプランニングでの Pblock の使用については、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク 』 (UG906) [参照 15] を参照してください。

Pblock は create_pblock Tcl コマンドを使用して作成し、 add_cells_to_pblock コマンドを使用してセルに追加します。 次のコードで Pblock を定義します。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]]resize_pblock [get_pblocks Pblock_usbEngine] -add {SLICE_X8Y105:SLICE_X23Y149}resize_pblock [get_pblocks Pblock_usbEngine] -add {DSP48_X0Y42:DSP48_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

1 行目は Pblock を作成し、 それに名前を指定します。

2 行目は Pblock にロジッ ク セルを割り当てます。 この場合、 指定の階層モジュールにあるセルがすべて Pblock に割り当てられます。 特定の Pblock に割り当てられたセルには PBLOCK プロパティが割り当てられます。

後続のコマンド resize_pblock は、 Pblock 内に含まれるデバイス リ ソースの範囲を指定して、 Pblock のサイズを定義します。 Pblock には、 SLICE、 DSP48、 RAMB18、 RAMB36 という 4 つのデバイス リ ソース タイプのグ リ ッ ドに分かれています。 これらのタイプに当てはまらないロジッ クは、 デバイスの任意位置に配置するこ とができます。 特定の階層レベルにブロ ッ ク RAM のみを制約するには、 それ以外の Pblock グ リ ッ ドをディ スエーブルにします (または単に定義しない)。

上記の Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 9] を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

• <NAME> : セルが割り当てられる Pblock の名前です。 この Pblock 名は、 create_pblock コマンドで Pblock を作成する と きに定義します。

構文

Verilog 構文

該当なし

Vivado プロパティ  リファレンス japan.xilinx.com 170UG912 (v2014.2) 2014 年 8 月 5 日

Page 171: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 構文

該当なし

XDC 構文

Pblock は 次の Tcl コマンドを使用して、 XDC ファ イルあるいは直接デザインで定義するこ とができます。

create_pblock <pblock_name>

XDC の例

次のコードで Pblock を定義します。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]]resize_pblock [get_pblocks Pblock_usbEngine] -add {SLICE_X8Y105:SLICE_X23Y149}resize_pblock [get_pblocks Pblock_usbEngine] -add {DSP48_X0Y42:DSP48_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

影響のある処理

• デザインのフロアプラン

• place_design

関連項目

93 ページの 「BEL」

106 ページの 「CONTAIN_ROUTING」

152 ページの 「LOC」

118 ページの 「EXCLUDE_PLACEMENT」

Vivado プロパティ  リファレンス japan.xilinx.com 171UG912 (v2014.2) 2014 年 8 月 5 日

Page 172: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

POST_CRC

POST_CRC は、コンフ ィギュレーシ ョ ン ロジッ クの巡回冗長検査 (CRC) というエラー検出機能のオン/オフを設定し、コンフ ィギュレーシ ョ ン メモ リへの変更があれば通知されるよ うにします。

POST_CRC プロパティ をイネーブルにする と、 ビッ ト ス ト リームにあらかじめ計算された CRC 値が生成されます。コンフ ィギュレーシ ョ ン データ フレームが読み込まれる と、デバイスはコンフ ィギュレーシ ョ ン データ パケッ トから CRC 値を計算します。 コンフ ィギュレーシ ョ ン データ フレームの読み込みが終了する と、 コンフ ィギュレーシ ョン ビッ ト ス ト リームはデバイスに対し Check CRC 命令を出力し、それに続いてあらかじめ計算された CRC 値が出力されます。デバイスによ り計算された CRC 値がビッ ト ス ト リームの期待 CRC 値に一致しないと、デバイスは INIT_Bを Low にし、 コンフ ィギュレーシ ョ ンを中止します。 詳細については、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ンユーザー ガイ ド』 (UG470)[参照 1] または 『UltraScale アーキテクチャ コンフ ィ ギュレーシ ョ ン ユーザー ガイ ド』(UG570) [参照 5] を参照して ください。

CRC がディ スエーブルの場合、 CRC 値の代わりに定数値がビッ ト ス ト リームに挿入され、デバイスで CRC 値は算出されません。

アーキテクチャ  サポート

すべてのデバイス  

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• DISABLE :Post CRC チェッ ク機能をディ スエーブルにします (デフォルト )。

• ENABLE :Post CRC チェッ ク機能をイエーブルにします。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC ENABLE | DISABLE [current_design]

XDC の構文例

set_property POST_CRC Enable [current_design]

Vivado プロパティ  リファレンス japan.xilinx.com 172UG912 (v2014.2) 2014 年 8 月 5 日

Page 173: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

影響のある処理

• write_bitstream

• launch_runs

関連項目

• 173 ページの 「POST_CRC_ACTION」

• 174 ページの 「POST_CRC_FREQ」

• 176 ページの 「POST_CRC_INIT_FLAG」

• 177 ページの 「POST_CRC_SOURCE」

POST_CRC_ACTION 

POST_CRC_ACTION はコンフ ィギュレーシ ョ ン ロジッ ク CRC エラー検出モードに使用されます。 このプロパティは、 CRC の不一致が検出されたと きのデバイスの対処方法を決めるもので、 その対処方法には、エラー訂正、操作実行、 コンフ ィギュレーシ ョ ン停止があ り ます。

リードバッ ク中、 シンド ローム ビッ トは毎フレーム計算されます。 シングル ビッ ト エラーが検出される と、 リードバッ クはすぐに中止されます。 POST_CRC_ACTION プロパティによ り訂正が行われる場合、 リードバッ ク CRC ロジッ クがシングル ビッ ト エラーの訂正を実行します。 エラーが出ているフレームは再度リードバッ ク され、 シンドロームの情報を使用して、エラーになっているビッ トは修正されてフレームに書き戻されます。POST_CRC_ACTIONが Correct_And_Continue に設定されている場合は、 リ ードバッ ク ロジ ッ クが 初のア ド レスから再開始し ます。Correct_And_Halt に設定されている場合は、 リードバッ ク ロジッ クは訂正後に停止します。 詳細については、 『7 シリーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)[参照 1] または『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570) [参照 5] を参照して ください。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。

アーキテクチャ  サポート

すべてのデバイス

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• HALT :CRC の不一致が検出される と、 ビッ ト ス ト リームのリードバッ ク、 比較 CRC の計算、 そしてあらかじめ計算された CRC との比較が中止になり ます。

• CONTINUE :CRC の比較によ り CRC の不一致が検出される と、ビッ ト ス ト リームのリードバッ ク、比較 CRC の計算、 あらかじめ計算された CRC との比較は続行します。

• CORRECT_AND_CONTINUE :CRC の比較によ り CRC の不一致が検出される と、 それは訂正され、 ビッ ト ス トリームのリードバッ ク、 比較 CRC の計算、 あらかじめ計算された CRC との比較は続行します。

Vivado プロパティ  リファレンス japan.xilinx.com 173UG912 (v2014.2) 2014 年 8 月 5 日

Page 174: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• CORRECT_AND_HALT :CRC の不一致が検出される と、 それは訂正され、 ビッ ト ス ト リームのリードバッ ク、比較 CRC の計算、 あらかじめ計算された CRC との比較は中止になり ます。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_ACTION <VALUE> [current_design]

説明 :

• <VALUE> には POST_CRC_ACTION プロパティで使用可能な値の 1 つが入り ます。

XDC の構文例

set_property POST_CRC_ACTION correct_and_continue [current_design]

影響のある処理

• write_bitstream

• launch_runs

関連項目

• 172 ページの 「POST_CRC」

• 174 ページの 「POST_CRC_FREQ」

• 176 ページの 「POST_CRC_INIT_FLAG」

• 177 ページの 「POST_CRC_SOURCE」

POST_CRC_FREQ

POST_CRC_FREQ は、 現在のデザインに対し、 コンフ ィギュレーシ ョ ン CRC チェッ クが実行される周波数を設定します。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。 POST_CRC プロパティをイネーブルにする と、ビッ ト ス ト リームの予め計算されている値と、コンフ ィギュレーシ ョ ン メモ リ セルを リードバックするこ とで計算される内部 CRC 値を周期的に比較できるよ うにな り ます。

POST_CRC_FREQ は、 リードバッ クの周波数を MHz で定義し、 デフォルト値は 1MHz です。

Vivado プロパティ  リファレンス japan.xilinx.com 174UG912 (v2014.2) 2014 年 8 月 5 日

Page 175: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

アーキテクチャ  サポート

すべてのデバイス

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• MHz で周波数を定数で指定します。 使用できる値は次のとおりです。

° 1 2 3 4 6 7 8 10 12 13 16 17 22 25 26 27 33 40 44 50 66 100

° デフォルト = 1 MHz

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_FREQ <VALUE> [current_design]

説明 :

• <VALUE> には POST_CRC_FREQ プロパティで使用可能な値の 1 つが入り ます。

XDC の構文例

set_property POST_CRC_FREQ 50 [current_design]

影響のある処理

• write_bitstream

• launch_runs

関連項目

• 172 ページの 「POST_CRC」

• 173 ページの 「POST_CRC_ACTION」

• 176 ページの 「POST_CRC_INIT_FLAG」

• 177 ページの 「POST_CRC_SOURCE」

Vivado プロパティ  リファレンス japan.xilinx.com 175UG912 (v2014.2) 2014 年 8 月 5 日

Page 176: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

POST_CRC_INIT_FLAG

POST_CRC_INIT_FLAG は、SEU (Single Event Upset) エラー信号の出力と して INIT_B ピンをイネーブルにするかど うかを決定します。

エラー コンディシ ョ ンは常に FRAME_ECC サイ トから出力されます。 しかし、 POST_CRC_INIT_FLAG がイネーブルになっている場合 (デフォルト )、 CRC エラーが発生する と INIT_B ピンもそれをフラグします。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。

アーキテクチャ  サポート

すべてのデバイス

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• DISABLE :INIT_B ピンを使用せず、 FRAME_ECC サイ トが CRC エラー信号のソースになり ます。

• ENABLE :INIT_B ピンはイネーブルになり、 これが CRC エラー信号のソースになり ます。 これがデフォルト設定です。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_INIT_FLAG ENABLE | DISABLE [curent_design]

XDC の構文例

set_property POST_CRC_INIT_FLAG Enable [current_design]

影響のある処理

• write_bitstream

• launch_runs

Vivado プロパティ  リファレンス japan.xilinx.com 176UG912 (v2014.2) 2014 年 8 月 5 日

Page 177: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

関連項目

• 172 ページの 「POST_CRC」

• 173 ページの 「POST_CRC_ACTION」

• 174 ページの 「POST_CRC_FREQ」

• 177 ページの 「POST_CRC_SOURCE」

POST_CRC_SOURCE

POST_CRC_SOURCE は、 コンフ ィギュレーシ ョ ン メモ リへの変更通知用にコンフ ィギュレーシ ョ ン ロジッ ク CRCのエラー検出機能が使用される と きの、 CRC 値のソースを指定します。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。

POST_CRC プロパティ をイネーブルにする と、 ビッ ト ス ト リームにあらかじめ計算された CRC 値が生成されます。コンフ ィギュレーシ ョ ン データ フレームが読み込まれる と、デバイスはコンフ ィギュレーシ ョ ン データ パケッ トから CRC 値を計算します。POST_CRC_SOURCE プロパティは、期待 CRC 値が予め計算された値から く るものなのか、または 初のリードバッ クのコンフ ィギュレーシ ョ ン データから得られるものなのかを定義します。

アーキテクチャ  サポート

すべてのデバイス

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• PRE_COMPUTED :ビッ ト ス ト リームから期待 CRC 値を決定します。 これがデフォルト設定です。

• FIRST_READBACK :この後繰り返される リードバッ クでの比較用に、 初のリードバッ クから実際の CRC 値を取得します。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_SOURCE FIRST_READBACK | PRE_COMPUTED [current_design]

Vivado プロパティ  リファレンス japan.xilinx.com 177UG912 (v2014.2) 2014 年 8 月 5 日

Page 178: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

XDC の構文例

set_property POST_CRC_SOURCE PRE_COMPUTED [current_design]

影響のある処理

• write_bitstream

• launch_runs

関連項目

• 172 ページの 「POST_CRC」

• 173 ページの 「POST_CRC_ACTION」

• 174 ページの 「POST_CRC_FREQ」

• 176 ページの 「POST_CRC_INIT_FLAG」

PRE_EMPHASIS

伝送ラインで高周波ロスが発生する高周波信号のシグナル インテグ リティを改善するため、 PRE_EMPHASIS プロパティが使用されます。 ト ランス ミ ッ ター プリエンファシス (PRE_EMPHASIS) 機能によ り、 ある I/O 規格の信号ド ライバーにプリエンファシスを使用できるよ うになり ます。

ヒン ト : 全体的なシグナル インテグ リ テ ィ を向上させるため、 ト ランス ミ ッ ターでのプ リエンファシスは、 レシーバーでの 「EQUALIZATION」 と組み合わせるこ とができます。

理想的な信号は、周波数のシンボル間隔内でロジッ ク遷移を実行します。 しかしロスの多い伝送ラインではシンボル間隔が長くなる可能性があ り ます。 伝送ライン ロスを踏まえ、 プ リエンファシスは遷移で電圧ゲインを提供します。周波数ド メ インでは、 プ リエンファシスによ りデータ ス ト リームの各遷移で高周波が引き上げられます。

プリエンファシスの選択は、レシーバー側でのシグナル インテグ リティにも重要です。プリエンファシスは信号エッジ レート を高め、 それは周辺信号のクロス トーク も高めます。

プリエンファシスのクロス トークおよび信号不連続性の影響は伝送ライン特性に依存しているため、影響が 小限のものであるこ とを確認するにはシ ミ ュレーシ ョ ンが必要です。信号をオーバーエンファシスする と、信号の質は改善されるよ りむしろ悪化する可能性があ り ます。

アーキテクチャ  サポート

UltraScale

適用可能なオブジェク ト

• ポート (get_ports)

Vivado プロパティ  リファレンス japan.xilinx.com 178UG912 (v2014.2) 2014 年 8 月 5 日

Page 179: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

PRE_EMPHASIS 属性に使用できる値は次のとおりです。

• RDRV_NONE (デフォルト ) - ト ランス ミ ッ ターのプリエンファシスをイネーブルにしません。

• RDRV_240 - プリエンファシスをイネーブルにします。

構文

Verilog 構文 

該当なし

VHDL 構文 

該当なし

XDC 構文

XDC ファ イルでは PRE_EMPHASIS 属性を次のよ うな構文で使用します。

set_property PRE_EMPHASIS value [get_ports port_name]

説明 :

• set_property PRE_EMPHASIS は、 ト ランス ミ ッ ターでプリエンファシスをイネーブルにします。

• port_name は差動バッファーに接続される出力ポート または双方向ポートです。

関連項目 

• 117 ページの 「EQUALIZATION」

• 160 ページの 「LVDS_PRE_EMPHASIS」

PROHIBIT

PROHIBIT では、 配置に使用できないピンまたはサイ ト を指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• サイ ト (get_sites)

• BEL (get_bels)

Vivado プロパティ  リファレンス japan.xilinx.com 179UG912 (v2014.2) 2014 年 8 月 5 日

Page 180: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

1

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property PROHIBIT 1 [get_sites site]

XDC の構文例

# Prohibit the use of package pin Y32set_property prohibit 1 [get_sites Y32]

影響のある処理

• I/O 配置

• place_design

PULLDOWN

PULLDOWN はト ライステート出力または双方向ポートにウ ィーク Low を適用し、 フローティングしないよ うにし、

ト ラ イステート ネッ トが駆動されていないと きにフローティングしないよ う、 ロジッ ク Low に確約します。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができます。バッファーに接続されているネッ ト オブジェク トに次のプロパティの 1 つを加えるこ とで、 この機能を使用できます。

• PULLUP

• PULLDOWN

• KEEPER

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 18]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

Vivado プロパティ  リファレンス japan.xilinx.com 180UG912 (v2014.2) 2014 年 8 月 5 日

Page 181: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

適用可能なオブジェク ト

• ポート (get_ports)

° 上位ポート

• TRUE | YES :駆動されていないと きに信号がフローティングしないよ うプルダウン回路を使用します。

• FALSE | NO :プルダウン回路を使用しません。 デフォルトです。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* PULLDOWN = " {YES|NO|TRUE|FALSE}" *)

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute pulldown: string;

VHDL 属性は次のよ うに指定します。

attribute pulldown of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property PULLDOWN {TRUE|FALSE} [get_ports port_name]

説明 :

• port_name は、 入力、 出力、 入出力ポートの名前です。

XDC の構文例

# Use a pulldown circuitset_property PULLDOWN TRUE [get_ports wbWriteOut]

影響のある処理

• 論理から物理へのマッピング

関連項目

• 150 ページの 「KEEPER」

• 182 ページの 「PULLUP」

Vivado プロパティ  リファレンス japan.xilinx.com 181UG912 (v2014.2) 2014 年 8 月 5 日

Page 182: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

PULLUP

PULLUP はト ライステート出力または双方向ポートにウ ィーク High を適用し、フローティングしないよ うにします。ト ラ イステート ネッ トが駆動されていないと きにフローティングしないよ う、 ロジッ ク High に確約します。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができます。バッファーに接続されているネッ ト オブジェク トに次のプロパティの 1 つを加えるこ とで、 この機能を使用できます。

• PULLUP

• PULLDOWN

• KEEPER

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 18]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 上位ポート

• TRUE | YES :駆動されていないと きに信号がフローティングしないよ うプルアップ回路を使用します。

• FALSE | NO :プルアップ回路を使用しません。 デフォルトです。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* PULLUP = " {YES|NO|TRUE|FALSE}" *)

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute pullup: string;

VHDL 属性は次のよ うに指定します。

attribute pullup of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

Vivado プロパティ  リファレンス japan.xilinx.com 182UG912 (v2014.2) 2014 年 8 月 5 日

Page 183: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

XDC 構文

set_property PULLUP {TRUE|FALSE} [get_ports port_name]

説明 :

• port_name は、 入力、 出力、 入出力ポートの名前です。

XDC の構文例

set_property PULLUP TRUE [get_ports wbWriteOut]

影響のある処理

• 論理から物理へのマッピング

関連項目

• 150 ページの 「KEEPER」

• 180 ページの 「PULLDOWN」

REF_NAME

これはデザインのセルに設定する読み出し専用のプロパティで、 セルを識別する論理セル名を指定します。

この REF_NAME プロパティは Vivado Design Suite によ り自動的に定義され、 HDL や XDC でユーザーが変更することはできません。 参照用に使用します。

このプロパティはデザイン フローには影響しませんが、特定セルやほかのオブジェク ト を識別するため、フ ィルターおよび Vivado Tcl コマンド クエ リーを定義するのに非常に便利です。

たとえば、 RAM セルのクロ ッ ク ピンを選択するには、 セルの REF_NAME プロパティに基づいてピン オブジェク トをフ ィルターにかけます。

get_pins -hier */*W*CLK -filter {REF_NAME =~ *RAM* && IS_PRIMITIVE}

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

該当なし

Vivado プロパティ  リファレンス japan.xilinx.com 183UG912 (v2014.2) 2014 年 8 月 5 日

Page 184: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

該当なし

影響のある処理

なし

REF_PIN_NAME

これはデザインのピンに設定する読み出し専用のプロパティで、 ピンを識別する論理セル名を指定します。

REF_PIN_NAME は、 ピンの NAME または HIERARCHICAL NAME から自動的に定義され、HDL や XDC でユーザーが変更するこ とはできません。 参照用に使用します。

このプロパティはデザイン フローには影響しませんが、特定セルやほかのオブジェク ト を識別するため、フ ィルターおよび Vivado Tcl コマンド クエ リーを定義するのに非常に便利です。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ピン (get_pins)

該当なし

構文

該当なし

影響のある処理

なし

Vivado プロパティ  リファレンス japan.xilinx.com 184UG912 (v2014.2) 2014 年 8 月 5 日

Page 185: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

RLOC

H_SET、 HU_SET、 U_SET などのセッ トに割り当てられているロジッ ク エレ メン トの相対的な配置を RLOC 制約は定義します。

RLOC が RTL ソース ファ イルにある場合、 H_SET、 HU_SET、 U_SET プロパティは、 合成後のネッ ト リ ス ト でセルの読み出し専用に RPM プロパティに変換されます。 RLOC プロパティは保持されますが、 合成後には読み出し専用プロパティになり ます。 これらのプロパティの使用および RPM の定義については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 12]を参照してください。

ターゲッ ト デバイスへのセッ ト全体の実際の配置には関係なく、セッ トに含まれるほかのエレ メン トに相対してセット内のエレ メン トの配置を定義できます。 たとえば、 RLOC 制約が 1 列にまとめられた 8 個のフ リ ップフロ ップから成るグループに適用されている場合、 マップ プログラムはその列を維持し、 1 つのユニッ ト と してフ リ ップフロ ップのグループ全体を移動します。 それとは対照的に、 LOC 制約は、 ほかのデザイン エレ メン トへの参照なしに、 ターゲッ ト デバイスにデザイン エレ メン トの絶対ロケーシ ョ ンを定義します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• RTL ソース ファ イルのインスタンスまたはモジュール

スライス ベースの XY 軸を使用して RLOC は指定されます。

RLOC=XmYn

説明 :

• m は X 軸の値を示す整数です。

• n は Y 軸の値を示す整数です。

ヒン ト : RLOC 制約の X および Y の値はデザイン エレ メン ト間の順序および関連性を定義し、 ターゲッ ト デバイスでの絶対ロケーシ ョ ンを定義するものではないため、 これらの値は負の値になる場合があ り ます。

構文

Verilog 構文

RLOC プロパティは、 RTL ソース ファ イル内で H_SET、 HU_SET、 または U_SET で指定されるセッ ト内のデザインエレ メン トの相対配置を定義する Verilog 属性です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "XmYn", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Vivado プロパティ  リファレンス japan.xilinx.com 185UG912 (v2014.2) 2014 年 8 月 5 日

Page 186: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

Verilog 例

これは、 ffs 階層モジュールでシフ ト レジスタ フ リ ップフロ ップの RLOC プロパティを定義する Verilog モジュールです。

module inv (input a, output z);

LUT1 #(.INIT(2'h1)) lut1 (.I0(a), .O(z));

endmodule // inv

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y1" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y2" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y3" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y4" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y5" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y6" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y7" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

ヒン ト : 先の例では、RLOC プロパティがあるので、ffs 階層モジュールの FD インスタンスに H_SET プロパティが使用されているこ とが暗示されています。

Vivado プロパティ  リファレンス japan.xilinx.com 186UG912 (v2014.2) 2014 年 8 月 5 日

Page 187: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

先の例では、 ffs モジュールのインスタンスに KEEP_HIERARCHY プロパティを指定して、 合成されたデザインで 階層を保持し、 RPM を定義する必要があ り ます。

module top ( input clk, input d, output q );

wire c1, c2;

(* RLOC_ORIGIN = "X1Y1", KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1);(* RLOC_ORIGIN = "X3Y3", KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2);(* RLOC_ORIGIN = "X5Y5", KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute RLOC: string;

VHDL 制約は次のよ うに指定します。

attribute RLOC of {component_name | entity_name | label_name} : {component|entity|label} is “XmYn”;

説明 :

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• XmYn は指定されたデザイン エレ メン トの RLOC 値を定義します。

XDC 構文

RLOC プロパティは XDC 制約を使用しては定義できません。 RLOC プロパティは相対的に配置されたマクロ (RPM)のオブジェク トの相対ロケーシ ョ ンを定義し、 また合成されたデザインのネッ ト リ ス トでは読み出し専用の RPM および RLOC プロパティにな り ます。

ヒ ン ト : デザイ ン内で RPM のよ う に機能するマ ク ロ オブジェ ク ト を Vivado Design Suite で定義するには、create_macro または update_macro を使用します。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 9] を参照してください。

影響のある処理

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 122 ページの 「H_SET および HU_SET」

Vivado プロパティ  リファレンス japan.xilinx.com 187UG912 (v2014.2) 2014 年 8 月 5 日

Page 188: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• 185 ページの 「RLOC」

• 188 ページの 「RLOCS」

• 190 ページの 「RLOC_ORIGIN」

• 194 ページの 「RPM」

• 195 ページの 「RPM_GRID」

• 200 ページの 「U_SET」

RLOCS

RLOCS は、Vivado Design Suite の create_macro Tcl コマンドによ り作成された XDC マクロ オブジェク トに割り当てられる読み出し専用のプロパティです。RLOCS が update_macro コマンドでアップデート される とマクロに割り当てられます。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 9]を参照してください。

RPM と同様に、 XDC マクロはセル グループを相対的に配置します。マクロは多くの点で RPM の類似していますが、大きな違いもあ り ます。

• RLOC プロパティ と、 H_SET、 HU_SET、 または U_SET プロパティの組み合わせで RTL ソース ファ イルで RPMは定義されます。

• RPM は合成後のデザインでは変更できません。

• マクロは、 相対配置制約によ りグループ化された リーフ セルから作成され、 合成後に変更できます。

• RPM を自動的にマクロに変換するこ とはできません。

• RPM はデザイン オブジェク トではなく、 XDC マクロ コマンドを RPM に使用するこ とはできません。

rlocs 引数にあるよ うに、 update_macro コマンドでRLOCS プロパティの相対配置の値を指定します。

"cell0 rloc0 cell1 rloc1 … cellN rlocN"

XDC マクロ オブジェク トの割り当てられている RLOCS プロパティを変更するには、 update_macro コマンドを使用します。

RLOCS プロパティは XDC マク ロの一部である個々のセルそれぞれに対し RLOC プロパティに変換されます。 この後、RLOC プロパティは、マクロのセルの相対配置を定義するこ とによ り、RPM に対するのと同じよ うに機能します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

• Cell1 RLOC1 Cell2 RLOC2 Cell3 RLOC3...:マクロのセルの名前と、 その相対ロケーシ ョ ンがペアになっています。

Vivado プロパティ  リファレンス japan.xilinx.com 188UG912 (v2014.2) 2014 年 8 月 5 日

Page 189: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

XDC マクロが作成され、 セルおよび相対ロケーシ ョ ンが自動入力される と き、 RLOCS プロパティが間接的に定義されます。

XDC の例

create_macro macro1update_macro macro1 {u1/sr3 X0Y0 u1/sr4 X1Y0 u1/sr5 X0Y1}

report_property -all [get_macros macro1]Property Type Read-only Visible ValueABSOLUTE_GRID bool true true 0CLASS string true true macroNAME string true true macro1RLOCS string* true true u1/sr3 X0Y0 u1/sr4 X1Y0 u1/sr5

影響のある処理

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 122 ページの 「H_SET および HU_SET」

• 185 ページの 「RLOC」

• 190 ページの 「RLOC_ORIGIN」

• 194 ページの 「RPM」

• 195 ページの 「RPM_GRID」

• 200 ページの 「U_SET」

Vivado プロパティ  リファレンス japan.xilinx.com 189UG912 (v2014.2) 2014 年 8 月 5 日

Page 190: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

RLOC_ORIGIN

RTL デザインで相対配置マクロ (RPM) の絶対ロケーシ ョ ン、または LOC を決めるのが RLOC_ORIGIN プロパティです。 RPM の定義および RLOC_ORIGIN プロパティの使用については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 12]を参照してください。

RPM は、 RTL デザインで H_SET、 HU_SET、 または U_SET プロパティを使用して、 セッ トにデザイン エレ メン ト を割り当てるこ とで定義されます。 この後、 RLOC プロパティを使用して、相互相対的にデザイン エレ メン トの配置が割り当てられます。ターゲッ ト デバイスへのセッ ト全体の実際の配置には関係なく、セッ トに含まれるほかのエレ メン トに相対してセッ ト内のエレ メン トの配置を定義できます。

RPM のエレ メン ト、その相対的配置を定義し終える と、RLOC_ORIGIN プロパティで、ターゲッ ト デバイスへの RPMの絶対配置を定義できます。 RLOC_ORIGIN プロパティは、 合成中に LOC 制約に変換されます。

Vivado Design Suite では、 RLOC_ORIGIN プロパテ ィは RPM の左下を定義します。 これは、 RLOC_ORIGIN プロパティが X0Y0 のデザイン エレ メン トであるこ とが一般的です。 RPM の残りのセルは、 グループの原点を基準と した相対ロケーシ ョ ン (RLOC) を使用して配置されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• RTL ソース ファ イル内のインスタンス

スライス ベースの XY 軸を使用して RLOC は指定されます。

RLOC_ORIGIN=XmYn

説明 :

• m は整数の値で、 RPM の左下、 ターゲッ ト デバイスの X 軸の絶対値を表します。

• n は整数の値で、 RPM の左下、 ターゲッ ト デバイスの Y 軸の絶対値を表します。

構文

Verilog 構文

RLOC_ORIGIN プロパティは、 ターゲッ ト デバイスでの RPM の絶対配置を定義する Verilog 属性です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC_ORIGIN = "XmYn", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

次の 上位 Verilog モジュールは、 デザインの ffs モジュールの RLOC_ORIGIN プロパティを定義しています。

module top (

Vivado プロパティ  リファレンス japan.xilinx.com 190UG912 (v2014.2) 2014 年 8 月 5 日

Page 191: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

input clk, input d, output q );

wire c1, c2;

(* RLOC_ORIGIN = "X1Y1", KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1);(* RLOC_ORIGIN = "X3Y3", KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2);(* RLOC_ORIGIN = "X5Y5", KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

次の例は 初の例と非常に類似していますが、RLOC_ORIGIN は 初の ffs モジュール u0 だけに割り当てられていて、残りは相対配置用に RLOC プロパティで定義されている点が異なり ます。

module top ( input clk, input d, output q );

wire c1, c2;

// what would happen if the origin places the RPM outside // device?

(* RLOC_ORIGIN = "X74Y15", RLOC = "X0Y0" *) ffs u0 (clk, d, c1); (* RLOC = "X1Y1" *) ffs u1 (clk, c1, c2); (* RLOC = "X2Y2" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute RLOC_ORIGIN: string;

VHDL 制約は次のよ うに指定します。

attribute RLOC_ORIGIN of {component_name | entity_name | label_name} : {component|entity|label} is “XmYn”;

説明 :

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• XmYn は指定されたデザイン エレ メン トの RLOC_ORIGIN 値を定義します。

XDC 構文

RLOC_ORIGIN プロパティは合成されたデザインで LOC プロパティに変換されます。ターゲッ ト デバイスに RPM のエレ メン トの 1 つを配置するこ とで、 RPM の LOC プロパティは指定できます。 RPM のほかにエレ メン トは、 このロケーシ ョ ンに相対的に配置され、 LOC プロパティに割り当てられます。

Vivado プロパティ  リファレンス japan.xilinx.com 191UG912 (v2014.2) 2014 年 8 月 5 日

Page 192: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

影響のある処理

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 122 ページの 「H_SET および HU_SET」

• 185 ページの 「RLOC」

• 188 ページの 「RLOCS」

• 194 ページの 「RPM」

• 195 ページの 「RPM_GRID」

• 200 ページの 「U_SET」

ROUTE_STATUS

ROUTE_STATUS は読み出し専用のプロパティで、 ネッ ト配線の 新情報を反映させるため、 Vivado 配線プログラムでネッ トに割り当てます。

このプロパティは、 get_property または report_property コマンドを使用して、 個々のネッ ト別またはネッ トのグループ別に検索できます。

デザイン全体の ROUTE_STATUS を確認するには、 report_route_status コマンドを使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ネッ ト (get_nets)

• ROUTED :ネッ トが完全に配置配線されています。

• PARTIAL :ネッ トのすべてのピンおよびポートが配置され、 ネッ トの一部は配線されていますが、 配線されていない部分があるので route_design を実行する必要があ り ます。

• UNPLACED :配置されていないピンやポートがあ り、 配置を完了させるため place_design を実行する必要があ り ます。

• UNROUTED :ネッ トのすべてのピンおよびポートが配置されていますが、 ネッ トに配線データがないため、配線を完了させるのに route_design 実行する必要があ り ます。

• INTRASITE :ターゲッ ト デバイスの同じサイ ト内で配線全体が完了していて、接続を完了させるのに配線リ ソースは不要です。 これはエラーではあ り ません。

• NOLOADS :配線に論理ロードがないか、 配線可能なロード ピンがないので、 配線は不要です。 これはエラーではあ り ません。

Vivado プロパティ  リファレンス japan.xilinx.com 192UG912 (v2014.2) 2014 年 8 月 5 日

Page 193: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• NODRIVER :配線に論理ド ライバーがないか、 配線可能なド ライバーがないので、 配線は不要です。 これはデザイン エラーです。

• HIERPORT :配線可能なロードまたはド ライバーのない 上位階層ポートに配線が接続されています。 これはエラーではあ り ません。

• ANTENNAS :配線に 低 1 つのアンテナがある (アンテナとはサイ ト ピンに接続する枝葉を指すが、 そのサイ トピンがこの論理ネッ トに接続されているこ とを表していない)、 または配線に少なく と も 1 つの島がある (島とは論理ネッ トに関連付けられているサイ ト ピンのいずれにも接続されていない配線の一部を指す)。 これは配線エラーです。

• CONFLICTS :配線プログラムに次の配線エラーが 1 つ以上見られます。

° 配線の競合 :この配線のノードが 1 つ以上、ほかの配線、 または同じ配線の別の分岐でも使用されています。

° サイ ト ピンの競合 :サイ ト内のサイ ト ピンに接続されている論理ピンと、サイ ト外に配線を介して接続されている論理ネッ トが異なり ます。

° 無効サイ トの競合 :サイ トのプログラ ミ ングが無効な状態であるサイ トのサイ トのサイ ト ピンに配線が接続されていて、 サイ ト内の配線が正し く接続されているか判断できない状態です。

• ERROR :配線ステータスを判断するにあたって内部エラーが発生した状態です。

• NONET :配線ステータス用に指定されているネッ ト オブジェク トが存在しないか、または入力したよ うには検出されません。

• NOROUTE :エラーのため、 指定のネッ トに対し配線オブジェク ト を検出できません。

• NOROUTESTORAGE :エラーのため、 このデバイスに対しては配線ス ト レージ オブジェク トは使用できません。

• UNKNOWN :エラーのため、 配線ステートは計算できません。

構文

ROUTE_STATUS プロパティの値は、 先に説明した値の 1 つになり ます。 また、 Vivado 配線によ り割り当てられる読み出し専用プロパティで、 直接変更はできません。

影響のある処理

• デザインの配線

Vivado プロパティ  リファレンス japan.xilinx.com 193UG912 (v2014.2) 2014 年 8 月 5 日

Page 194: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

RPM

RTL ソース ファ イルで H_SET、 HU_SET、 または U_SET プロパティを使用して定義されたセッ トのロジッ ク エレ メン トに RPM は割り当てられ、 読み出し専用になっています。

RTL ソース ファ イルに RLOC もある場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス ト でセルに対する読み出し専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソースファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。 これらのプロパティの使用および RPM の定義については、 『Vivado Design Suite ユーザーガイ ド : 制約の使用』 (UG903) を[参照 12]参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• 合成されたデザインのセル (get_cells)

• NAME :RTL ソース ファイルに H_SET、 HU_SET、 または U_SET プロパティ と と もに RLOC がある場合のセット定義から く る RPM の名前です。

構文

RPM を定義するための H_SET、 HU_SET、 または U_SET と RLOC がある RTL デザインを合成する と RPM プロパティは派生し、 読み出し専用です。 RPM プロパティを直接定義したり変更するこ とはできません。

関連項目

• 122 ページの 「H_SET および HU_SET」

• 185 ページの 「RLOC」

• 188 ページの 「RLOCS」

• 190 ページの 「RLOC_ORIGIN」

• 195 ページの 「RPM_GRID」

• 200 ページの 「U_SET」

Vivado プロパティ  リファレンス japan.xilinx.com 194UG912 (v2014.2) 2014 年 8 月 5 日

Page 195: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

RPM_GRID

RPM_GRID プロパティは RLOC グ リ ッ ドを相対座標ではなく絶対座標で定義します。 RPM_GRID システムは、 セルが異なるサイ ト タイプ (スライス、 ブロ ッ ク RAM、 DSP などの組み合わせ) に属す RPM に使用されます。 セルはさまざまなサイズのサイ トに配置される可能性があるので、 ターゲッ ト デバイスに直接配置できる RPM_GRID システムでは絶対座標の RPM_GRID を使用します。

RPM_GRID 値は、 Vivado IDE でサイ ト を選択する と、 [Site Properties] ビューに表示されます。 座標は、 RPM_X および RPM_Y サイ ト プロパティを使用して Tcl コマンドで検索するこ と もできます。RPM_GRID プロパティの使用、絶対座標を使用した RPM の定義については、『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 12]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

• ”GRID” :指定のRLOC が、通常 RLOC で指定される相対座標ではなく、 ターゲッ ト デバイスからの絶対座標であるこ とを Vivado Design Suite に知らせるため、 RPM_GRID プロパティ と GRID キーワードを組み合わせます。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* RPM_GRID = "GRID" *)

Verilog 例

module iddr_regs ( input clk, d, output y, z );

(* RLOC = "X130Y195" *) IDDR ireg (.C(clk_i), .D(d), .Q1(q1), .Q2(q2)); defparam ireg.DDR_CLK_EDGE = "SAME_EDGE"; (* RLOC = "X147Y194" *) FD q1reg (.C(clk_i), .D(q1), .Q(y)); (* RLOC = "X147Y194", RPM_GRID = "GRID" *) FD q2reg (.C(clk_i), .D(q2), .Q(z)); endmodule // iddr_regs

VHDL 構文

RPM_GRID システムを使用するには、 まず属性を定義し、 それをデザイン エレ メン トの 1 つに追加します。

Vivado プロパティ  リファレンス japan.xilinx.com 195UG912 (v2014.2) 2014 年 8 月 5 日

Page 196: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

attribute RPM_GRID of ram0 : label is "GRID";

VHDL 制約は次のよ うに宣言します。

attribute RPM_GRID : string;

VHDL 制約は次のよ うに指定します。

attribute RPM_GRID of {component_name | entity_name} : {component|entity} is “GRID”;

XDC 構文

RPM_GRID プロパティは RTL ソース ファ イルで割り当てられ、XDC ファ イルや Tcl コマンドでは定義するこ とはできません。しかし、XDC マクロの場合は、update_macros コマンドに -absolute_grid オプシ ョ ンを使用します。

影響のある処理

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 122 ページの 「H_SET および HU_SET」

• 185 ページの 「RLOC」

• 188 ページの 「RLOCS」

• 190 ページの 「RLOC_ORIGIN」

• 194 ページの 「RPM」

• 200 ページの 「U_SET」

Vivado プロパティ  リファレンス japan.xilinx.com 196UG912 (v2014.2) 2014 年 8 月 5 日

Page 197: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

SLEW

SLEW は、 プログラム可能な出力スルー レート をサポートする I/O 規格でコンフ ィギュレーシ ョ ンされた出力バッファーに対し、 出力バッファーのスルー レート を指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 接続された出力ポート または双方向ポート

• セル (get_cells)

° 出力バッファー (すべての OBUF)

• SLOW (デフォルト )

• MEDIUM - UltraScale アーキテクチャの場合は、 ハイ パフォーマンス (HP) I/O でのみ使用できます。

• FAST

構文

Verilog 構文

I/O バッファーを推論する際にこの属性を設定するには、適切な Verilog 属性構文を 上位出力ポート宣言の前に配置します。

(* DRIVE = "{SLOW|FAST}" *)

Verilog の構文例

// Sets the Slew rate to be FAST(* SLEW = "FAST" *) output FAST_DATA,

その他の Verilog の構文例

出力または双方向バッファーがインスタンシエート されたと きに SLEW を設定するには、インスタンシエート された出力バッファーに SLEW パラ メーターを割り当てます。

推奨 : 言語テンプレー ト または 『Vivado Design Suite 7 シ リ ーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 17]、 または『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 18]からのインスタンシエーシ ョ ン テンプレート を使用して、 正しい構文を指定してください。

次の例では、 fast_data_obuf という名前の OBUF インスタンスのスルー レート を FAST に設定しています。

// OBUF:Single-ended Output Buffer // Virtex-7

Vivado プロパティ  リファレンス japan.xilinx.com 197UG912 (v2014.2) 2014 年 8 月 5 日

Page 198: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

// Xilinx HDL Language Template, version 2014.1OBUF #( .DRIVE(12), // Specify the output drive strength .IOSTANDARD("DEFAULT"), // Specify the output I/O standard .SLEW("FAST") // Specify the output slew rate ) fast_data_obuf ( .O(FAST_DATA), // Buffer output (connect directly to top-level port) .I(fast_data_int) // Buffer input );// End of fast_data_obuf instantiation

VHDL 構文

I/O バッファーを推論する際にこの属性を設定するには、 適切な VHDL 属性構文を 上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute SLEW : string;

VHDL 属性は次のよ うに指定します。

attribute SLEW of port_name : signal is value;

説明 :

• port_name は 上位出力ポートです。

VHDL の構文例

FAST_DATA : out std_logic;attribute SLEW : string;-- Sets the Slew rate to be FASTattribute SLEW of STATUS : signal is “FAST”;

その他の VHDL の構文例

出力または双方向バッファーがインスタンシエート されたと きに SLEW を設定するには、インスタンシエート された出力バッファーに SLEW ジェネ リ ッ クを割り当てます。

推奨 : 言語テンプレー ト または 『Vivado Design Suite 7 シ リ ーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 17]、 または『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 18]からのインスタンシエーシ ョ ン テンプレート を使用して、 正しい構文を指定してください。

次の例では、 fast_data_obuf という名前の OBUF インスタンスのスルー レート を FAST に設定しています。

-- OBUF:Single-ended Output Buffer -- Virtex-7 -- Xilinx HDL Language Template, version 2014.1Fast_data_obuf :OBUF generic map ( DRIVE => 12, IOSTANDARD => "DEFAULT", SLEW => "FAST") port map ( O => FAST_DATA, -- Buffer output (connect directly to top-level port) I => fast_data_int -- Buffer input );-- End of fast_data_obuf instantiation

Vivado プロパティ  リファレンス japan.xilinx.com 198UG912 (v2014.2) 2014 年 8 月 5 日

Page 199: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

XDC 構文

set_property SLEW value [get_ports port_name]

説明 :

• port_name は出力または双方向ポートです。

XDC の構文例

# Sets the Slew rate to be FASTset_property SLEW FAST [get_ports FAST_DATA]

影響のある処理

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

関連項目

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953) [参照 17]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 18]を参照してください。

• OBUF

• OBUFT

• IOBUF

• IOBUF_DCIEN

• IOBUF_INTERMDISABLE

Vivado プロパティ  リファレンス japan.xilinx.com 199UG912 (v2014.2) 2014 年 8 月 5 日

Page 200: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

U_SET

RLOC 制約を使用して、 デザイン階層をまたいで分散しているデザイン エレ メン ト を 1 つのセッ トにま とめます。

U_SET は HDL デザイン ソース ファ イル内の属性で、 合成されたデザインやインプ リ メ ン ト されたデザインには現われません。 U_SET は、 RPM (Relatively Placed Macro) を RTL デザインで定義する と きに使用されます。 これらのプロパティの使用および RPM の定義については、『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 12]を参照してください。

H_SET または HU_SET はデザイン階層に基づいてロジッ ク エレ メ ン ト のセッ ト を定義するのに使用されますが、U_SET を使用する と、 ユーザー定義のロジッ ク エレ メン ト を手動で作成でき、 このセッ トはデザインの階層には依存しません。

RTL ソース ファ イルに RLOC もある場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス ト でセルに対する読み出し専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソースファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。

重要 : 階層モジュールに U_SET 制約を設定する と、 それ以下の階層にある RLOC 制約が設定されたすべてのプリ ミティブ シンボルに U_SET 制約が適用されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

次のデザイン エレ メン ト 、またはそのカテゴ リーで U_SET は使用するこ とができます。詳細は、『Vivado Design Suite7 シ リ ーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 17] または 『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』(UG974) [参照 18] を参照してください。

• レジスタ

• FMAP

• マクロ インスタンス

• ROM

• RAMS

• RAMD

• MULT18X18S

• RAMB4_Sm_Sn

• RAMB4_Sn

• RAMB16_Sm_Sn

• RAMB16_Sn

• RAMB16

• DSP48

Vivado プロパティ  リファレンス japan.xilinx.com 200UG912 (v2014.2) 2014 年 8 月 5 日

Page 201: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• NAME :U_SET の名前

構文

Verilog 構文

これは、 合成後ネッ ト リ ス トで RPM を定義する階層ブロ ッ クのセッ トの内容を定義するため、 RLOC プロパティ と組み合わせた Verilog 構文です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

これは、 モジュールでのシフ ト レジスタ フ リ ップフロ ップの RLOC および U_SET プロパティを定義する Verilog モジュールです。

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0", U_SET = "Uset0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y0", U_SET = "Uset0" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y1", U_SET = "Uset0" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y1", U_SET = "Uset0" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y0", U_SET = "Uset1" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y0", U_SET = "Uset1" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y1", U_SET = "Uset1" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y1", U_SET = "Uset1" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr;

Vivado プロパティ  リファレンス japan.xilinx.com 201UG912 (v2014.2) 2014 年 8 月 5 日

Page 202: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

endmodule // ffs

定義されている特定の階層に設定する HU_SET プロパティ とは異な り、 U_SET プロパティは階層全体に設定できます。この例では、 上位モジュールによ り ffs モジュールのインスタンスが 3 つ定義されていますが、U_SET は Uset_0および Uset_1 の 2 つしか作成されていません。この 2 つのセッ トに次に定義されている 3 つの ffs モジュール インスタンスからのフ リ ップフロ ップが含まれています。

module top ( input clk, input d, output q );

wire c1, c2;

ffs u0 (clk, d, c1);ffs u1 (clk, c1, c2);ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute U_SET : string;

VHDL 制約は次のよ うに指定します。

attribute U_SET of {component_name | entity_name | label_name} :{component|entity|label} is "NAME";

説明 :

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• "NAME" には U_SET の名前を指定します。

XDC 構文

U_SET プロパティは XDC 制約を使用しては定義できません。RLOC と と もにロジッ ク エレ メン トに設定されているU_SET プロパティは、 RPM を定義し、 その結果合成されたデザインのネッ ト リ ス ト に読み出し専用の RPM プロパティが設定されます。

ヒ ン ト : デザイ ン内で RPM のよ う に機能するマ ク ロ オブジェ ク ト を Vivado Design Suite で定義するには、create_macro または update_macro を使用します。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 9] を参照してください。

影響のある処理

• デザインのフロアプラン

• place_design

• synth_design

Vivado プロパティ  リファレンス japan.xilinx.com 202UG912 (v2014.2) 2014 年 8 月 5 日

Page 203: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

関連項目

• 148 ページの 「KEEP_HIERARCHY」

• 122 ページの 「H_SET および HU_SET」

• 185 ページの 「RLOC」

USE_DSP48

USE_DSP48 プロパティを使用する と、 Vivado Design Suite で数学モジュールがターゲッ ト デバイスで DSP48 ブロ ックに合成されるよ うになり ます。

デフォル ト では、 乗算器、 乗加算器、 乗減算器、 乗累算器タ イプの構造が DSP48 ブロ ッ クに割り当てられます。USE_DSP48 プロパティを指定しない場合、 Vivado 合成ではロジッ クが自動的に正し く推論されます。 加算器、 減算器、アキュムレータも これらのブロ ッ クに含めるこ とはできますが、デフォルトでは DSP48 ブロ ッ クではなく ロジックを使用してインプ リ メ ン ト されます。 USE_DSP48 属性を使用する と、 このデフォルト動作が変更され、 デバイスで DSP48 ブロ ッ クを使用してこれらの構造が定義されるよ うにもな り ます。

DSP48 は、 カウンター、 マルチプレクサー、 およびシフ ト レジスタなど、 数学以外のその他多くのロジッ ク ファンクシ ョ ンをインプ リ メン ト されるためにも使用できます。 ただし、 マルチプレクサーなどの複雑なモジュールの場合は、 手動で DSP48 を手動でインスタンシエートする必要があ り ます。

このプロパティは、 次のよ うに RTL で信号上の属性と して設定できます。

(* use_dsp48 = "yes" *) module test(clk, in1, in2, out1);

USE_DSP48 は RTL ソースでモジュールに適用できますが、 それが指定されたモジュールにのみ適用されます。 下位モジュールには個別に指定するか、 指定しないでください。 これは、 デザインの階層セルに XDC 制約と して適用するこ と もできます。

アーキテクチャ  サポート

すべてのデバイス

適用可能なオブジェク ト

この属性は、 RTL の信号、 アーキテクチャおよびコンポーネン ト、 エンティティおよびモジュールに指定できます。優先順位は次のとおりです。

1. 信号

2. アーキテクチャおよびコンポーネン ト

3. モジュールおよびエンティティ

• YES : DSP48 ブロ ッ クを使用して数学ファンクシ ョ ンをインプリ メン ト します。

• NO : Vivado 合成のデフォルト ビヘイビアーは変更されません。

Vivado プロパティ  リファレンス japan.xilinx.com 203UG912 (v2014.2) 2014 年 8 月 5 日

Page 204: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

構文

Verilog 構文

(* use_dsp48 = "yes" *) module test(clk, in1, in2, out1);

VHDL 構文

attribute use_dsp48 : string;

attribute use_dsp48 of P_reg : signal is "no"

XDC 構文

set_property use_dsp48 yes [get_cells -hier ….]

影響のある処理

• 合成

USED_IN

USED_IN プロパテ ィは Vivado Design Suite のデザイン ファ イル (v、 vhd、 xdc、 tcl) に設定し、 これらのファ イルがFPGA デザインのどの段階で使用されるかを指定します。

たとえば、 インプリ メンテーシ ョ ンではなく、 Vivado 合成で XDC ファ イルを使用するよ う、 USED_IN プロパティで指定できます。 また、 合成ではなくシ ミ ュレーシ ョ ンで HDL ソース ファ イル (v または vhd) を使用するよ う指定するこ と もできます。

ヒン ト : USED_IN_SYNTHESIS、 USED_IN_SIMULATION、 USED_IN_IMPLEMENTATION プロパティは USED_IN プロパティに関連付けられており、 ツールによ り自動的に USED_IN ({synthesis, simulation, implementation} に変換されます。

また、 Tcl フ ァ イルを単にインプ リ メ ンテーシ ョ ンで使用する設定するのではな く、 USED_IN opt_design またはplace_design で使用するよ うに細かく設定するこ と もできます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ファ イル

• synthesis

• implementation

Vivado プロパティ  リファレンス japan.xilinx.com 204UG912 (v2014.2) 2014 年 8 月 5 日

Page 205: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

• simulation

• out_of_context

• opt_design

• power_opt_design

• place_design

• phys_opt_design

• route_design

• write_bitstream

• post_write_bitstream

• synth_blackbox_stub

• testbench

• board

• single_language

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property USED_IN {<value>} [get_files <files>]

説明 :

• <value> には有効な USED_IN 値が 1 つまたは複数入り ます。

• <files> には USED_IN プロパティを設定するファイル名が入り ます。

XDC の構文例

# Designates the specified files as used in simulationset_property USED_IN {synthesis simulation} [get_files *.vhdl]

影響のある処理

• 合成

• シ ミ ュレーシ ョ ン

• インプ リ メンテーシ ョ ン

• ビッ ト ス ト リーム生成

Vivado プロパティ  リファレンス japan.xilinx.com 205UG912 (v2014.2) 2014 年 8 月 5 日

Page 206: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VCCAUX_IO

VCCAUX_IO は、 指定した I/O の VCCAUX_IO レールの動作電圧を指定します。

VCCAUX_IO プロパティの割り当てが正しいこ とをチェッ クする DRC があ り ます。

• VCCAUXIOBT (警告) : VCCAUX_IO の値が NORMAL または HIGH になっているポートが HP バンクのみに配置されているこ とを確認します。

• VCCAUXIOSTD (警告) : VCCAUX_IO の値が NORMAL または HIGH になっているポートが HR バンクでのみサポート されている IOSTANDARD を使用していないこ とを確認します。

• VCCAUXIO (エラー ) : VCCAUX_IO の値が NORMAL になっているポートが、VCCAUX_IO の値が HIGH になっているポート と して同じバンクに制約/配置されていないこ とを確認します。

アーキテクチャ  サポート

7 シ リーズおよび Zynq デバイス (High Performance (HP) バンクの I/O のみ)

適用可能なオブジェク ト

• ポート (get_ports)

• セル (get_cells)

° I/O バッファー

• DONTCARE (デフォルト )

• NORMAL

• HIGH

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を 上位出力ポート宣言の前に配置します。

(* VCCAUXIO = "{DONTCARE|NORMAL|HIGH}" *)

Verilog の構文例

// Specifies a “HIGH” voltage for the VCCAUX_IO rail connected to this I/O(* VCCAUX_IO = "HIGH" *) input ACT3,

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を 上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute VCCAUX_IO : string;

Vivado プロパティ  リファレンス japan.xilinx.com 206UG912 (v2014.2) 2014 年 8 月 5 日

Page 207: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

第 2 章 : 主なプロパティの説明

VHDL 属性は次のよ うに指定します。

attribute VCCAUX_IO of port_name : signal is value;

説明 :

• port_name は 上位ポートです。

VHDL の構文例

ACT3 : in std_logic;attribute VCCAUX_IO : string;-- Specifies a HIGH voltage for the VCCAUX_IO rail connected to this I/Oattribute VCCAUX_IO of ACT3 : signal is “HIGH”;

XDC 構文

set_property VCCAUX_IO value [get_ports port_name]

説明 :

• port_name は 上位ポートです。

XDC の構文例

# Specifies a HIGH voltage for the VCCAUX_IO rail connected to this I/Oset_property VCCAUX_IO HIGH [get_ports ACT3]

影響のある処理

• I/O 配置

• place_design

• 消費電力レポート

Vivado プロパティ  リファレンス japan.xilinx.com 207UG912 (v2014.2) 2014 年 8 月 5 日

Page 208: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

付録 A

その他のリソース

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照してください。

ザイ リ ンクスで使用される技術用語については、 ザイ リ ンクス用語集を参照してください。

ソリューシ ョ ン  センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタン ト 、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

参考資料このガイ ドの補足情報は、 次の資料を参照してください。

1. 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)

2. 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)

3. 『7 シ リーズ FPGA ク ロ ッ ク リ ソース ユーザー ガイ ド』 (UG472)

4. 『7 シ リーズ FPGA の XADC 12 ビッ ト 1MSPS デュアル アナログ-デジタル コンバーター ユーザー ガイ ド』(UG480)

5. 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570)

6. 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571)

7. 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572)

8. 『UltraScale アークテクチャ システム モニター ユーザー ガイ ド』 (UG580)

9. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

10. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

11. 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)

12. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

13. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904)

14. 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905)

15. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャー テクニッ ク』 (UG906)

Vivado プロパティ  リファレンス japan.xilinx.com 208UG912 (v2014.2) 2014 年 8 月 5 日

Page 209: プロパティ リファレンス ガイド - Xilinx...BUFFER BUFGCTRL_BUFGCTRL BUFHCE_BUFHCE BUFIO_BUFIO BUFMRCE_BUFMRCE BUFR_BUFR CAPTURE_CAPTURE CARRY4 DCIRESET_DCIRESET DNA_PORT_DNA_PORT

16. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

17. 『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953)

18. 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974)

19. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG995)

20. 『LogiCORE IP JTAG to AXI Master 製品ガイ ド』 (PG174)

21. Vivado Design Suite ビデオ チュート リ アル

22. Vivado Design Suite の資料

法的通知The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extentpermitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES ANDCONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct anyerrors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty,please refer to Xilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty andsupport terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any applicationrequiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’sTerms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

© Copyright 2012-2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, UltraScale, Virtex, Vivado, Zynq, and other designatedbrands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respectiveowners.

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。

Vivado プロパティ  リファレンス japan.xilinx.com 209UG912 (v2014.2) 2014 年 8 月 5 日