d Lps Practica 1

download d Lps Practica 1

of 17

Transcript of d Lps Practica 1

  • 7/21/2019 d Lps Practica 1

    1/17

  • 7/21/2019 d Lps Practica 1

    2/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 1/2

    Practica 1: Circuitos Combinacionales y Secuenciales

    INTRODUCCIN

    SUMADORES

    En electrnica un sumador es un circuito lgico que calcula la operacin suma. En

    los computadores modernos se encuentra en lo que se denomina Unidad

    aritmtico lgica (AU!.

    "eneralmente reali#an las operaciones aritmticas en cdigo binario$ decimal o

    %C& e'ceso en $ por regla general los sumadores emplean el sistema binario.En los casos en los que se est empleando un complemento a dos para

    representar numero negati)os$ el sumador se con)ertir* en un sumador+

    substractor (Adder+substracter!.

    ,ipos de sumadores

    -al+ adder

    /ull+ adder

    0etodo ipple

    Carry+ oo2+ A3ead

    Carry+ select

    SEMISUMADOR

    Se denomina semisumador al circuito combinacional capa# de reali#ar la suma

    aritmtica binaria de dos 4nicos bits A y %$ proporcionando a su salida un bit

    resultado de suma S y un bit de acarreo C. En la siguiente 5gura se muestra la

    tabla de )erdad de este circuito con sus unciones$ acompa6ado de un esquema

    del -al+Adder.

  • 7/21/2019 d Lps Practica 1

    3/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 2/2

    FULL ADDER

    Este dispositi)o nos orece una me7ora del semisumador al cual se le a6ade un

    acarreo de entrada.

    &e esta manera podemos arontar sumas de m*s de un bit para las cuales

    utili#aremos el acarreo de salida del anterior en el acarreo de entrada del

    siguiente. As8 completamos la suma correctamente.A continuacin )emos la tabla de )erdad y un esquema.

    METODO RIPPLE

    Un sumador de dos inormaciones binarias A9% de n bits necesita reali#ar n sumas

    parciales$ empleando para ello n sumadores completos. Esto nos 3ace conectar el

    acarreo de salida con el siguiente acarreo de entrada de manera que podamos

    reali#ar la suma del siguiente bit con acarreo.

  • 7/21/2019 d Lps Practica 1

    4/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 3/2

    Es un circuito muy simple e intuiti)o pero presenta el serio incon)eniente de tener

    que esperar un tiempo igual a n tiempos de propagacin antes de obtener un

    resultado estable.

    CARRY LOOK AHEAD

    Este sumador$ llamado tambin sumador paralelo con acarreo anticipado$ reali#a

    la suma aumentando la )elocidad de proceso sobre la cone'in en serie. o logra

    mediante la generacin de todos los bits de acarreo en el mismo proceso de

    c*lculo de las sumas parciales.

    Al sumar dos inormaciones se obtendr* el acarreo por dos posibilidades: Se

    genera acarreo en la propia etapa del sumador.

    "enerado (A;%;1!

    "7 ; A7 < %7

    Pro)iene de la etapa anterior. Propagado

    P7 ; A7 = %7

    Por tanto el acarreo producido en la etapa i+esima Ci ser* porque se genera o

    propaga y se e'presar*: Ci;"i9 Pi Ci+1;Ai %i 9 (Ai 9 %i! Ci+1

    CARRY SELECT

  • 7/21/2019 d Lps Practica 1

    5/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 4/2

    En este tipo de sumador se reali#a un acarreo

    mi'to basado en sumadores y multiple'ores$

    donde la generacin de acarreo en cada

    sumador se reali#a en paralelo y lapropagacin en cada multiple'or en serie.

    El tiempo de propagacin de este sumador

    depende del tiempo de propagacin de la

    primera etapa$ m*s el tiempo de propagacin

    de los (0>?+1! multiple'ores para propagacin

    del acarreo. A cambio el circuito es bastante m*s grande que la estructura @ripple.

    OBJETIV

    O:

    Bue el alumno desarrolle la 3abilidad de dise6ar y dierenciar entre un

    circuito combinacional y un circuito secuencial. As8 como implementarlo en

    un &P utili#ando el engua7e de desarrollo de 3ardare D-&.

    PROCEDIMIE

    NTO:

    1.+ &ise6ar un sumador > restador de bits$ mostrando el resultado en dos

    displays de segmentos$ el resultado deber* ser presentado en

    3e'adecimalF teniendo en cuenta que la suma m*'ima seria 9 el

    resultado a mostrar ser* G'E$ y la resta m*'ima ser* G H 1I y el

    resultado mostrara G'@.$ siendo el punto el indicador de signo.

  • 7/21/2019 d Lps Practica 1

    6/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 5/2

  • 7/21/2019 d Lps Practica 1

    7/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 6/2

    J.+ &ise6ar un contador de G H KK a 1G -#$ donde se muestre el contador en

    dos displays de *nodo com4n que comparten bus de datos$ los cuales tienen

    se6ales de acti)acin para cada display donde se debe de 3abilitar uno a

    uno cada display a una recuencia de JGG -#. El contador debe de contar

    con un botn de reset y detiene+a)an#a$ acti)ado en alto y ba7o

    respecti)amente.

  • 7/21/2019 d Lps Practica 1

    8/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 7/2

    library LEEEF

    use LEEE.S,&MN"LCM11O.AF

    use LEEE.S,&MN"LCMarit3.AF

    use LEEE.S,&MN"LCMunsigned.AF

    ++creacin de la entidad$ designacin de entradas y salidas

    entity e7ercicioJ is port(

    cl2 :in stdMlogicF

    reset$pause:in stdMlogicF

    disp:out stdMlogicM)ector( donto G!F

    sal:out stdMlogicM)ector( donto G!!F

    end e7ercicioJF

    arc3itecture %e3a)ioral o e7ercicioJ is

    signal cl21G3#$cl2JGG3#:stdMlogicF ++signal de los relo7es para a7ustar la

    recuencia

    signal uni$dec:stdMlogicM)ector( donto G!F

    signal au': stdMlogicM)ector( donto G!F

    begin

    process (cl2! Hproceso para a7ustar la recuencia a los JGG -# deseados

    )ariable i:integerF

    begin

    i(cl2Qe)ent and cl2;Q1Q!t3en

  • 7/21/2019 d Lps Practica 1

    9/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 8/2

    i:;i91F

    i(iRJIGGGG!t3en

    cl2JGG3#R;QGQF

    elsi(i;IGGGGG!t3en i:;GF

    cl2JGG3#R;Q1QF

    else

    cl2JGG3#R;Q1QF

    end iF

    end iF

    end processF

    Hproceso para que la tar7eta uncione a 1 -# y se pueda obser)ar me7or el

    comportamiento del ++programa

    process (cl2!

    )ariable i:integerF

    begin

    i(cl2Qe)ent and cl2;Q1Q!t3en

    i:;i91F

    i(iRIGGGGGG!t3en

    cl21G3#R;QGQF

    elsi(i;1GGGGGGG!t3en

    i:;GF

    cl21G3#R;Q1QF

    end iF

    end iF

    end processF

    process (cl2JGG3#$ au'$dec$uni! ++proceso de decodi5cacion de datos a

    imprimir

    begin

    i(cl2JGG3# ; QGQ!t3endispR;11G1F

    au'R;decF

  • 7/21/2019 d Lps Practica 1

    10/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 9/2

    case (au'! is

    3en GGGG;TsalR;'CGF

    3en GGG1;TsalR;'/KF

    3en GG1G;TsalR;'AF3en GG11;TsalR;'%GF

    3en G1GG;TsalR;'KKF

    3en G1G1;TsalR;'KJF

    3en G11G;TsalR;'F

    3en G111;TsalR;'/F

    3en 1GGG;TsalR;'GF

    3en ot3ers;TsalR;'KF

    end caseFelse

    dispR;111GF au'R;uniF

    case (au'! is

    3en GGGG;TsalR;'CGF

    3en GGG1;TsalR;'/KF

    3en GG1G;TsalR;'AF

    3en GG11;TsalR;'%GF

    3en G1GG;TsalR;'KKF

    3en G1G1;TsalR;'KJF

    3en G11G;TsalR;'F

    3en G111;TsalR;'/F

    3en 1GGG;TsalR;'GF

    3en ot3ers ;TsalR;'KF

    end caseF

    end iF

    end processF

    process (cl21G3#$reset$pause! Hproceso en el cual se inicia la suma de datos

    en el contador

    begin

    i(cl21G3#Qe)ent and cl21G3#;Q1Q!t3eni (reset;QGQ!t3en

    i (pause;QGQ! t3en

  • 7/21/2019 d Lps Practica 1

    11/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 10/

    i (uniR1GG1! t3en

    uniR;uni91F

    else

    uniR;GGGGFi (decR1GG1! t3en

    decR;dec91F

    else

    decR;GGGGF

    end iF

    i (dec;1GG1 and uni;G1G1!t3en

    decR;GGGGF

    uniR;GGGGFend iF

    end iF

    else

    uniR;uniF

    decR;decF

    end iF

    else

    decR;GGGGF

    uniR;GGGGF

    end i

    end iF

    end processF

    end %e3a)ioralF

    .+ eali#ar un circuito digital que conste de contadores de G H 1I con

    dierentes recuencias cada uno$ las recuencias de operacin de cada

    contador ser*n 1IG-#$ 1.I-#$ JGG-# y

    IG-#.Cada uno de los contadores se deber*n mostrar en displays de *nodo

    com4n los cualestienen se6ales de acti)acin para cada uno$ se debe de 3abilitar uno a uno

    cada display a una recuencia de GG -#.

  • 7/21/2019 d Lps Practica 1

    12/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 11/

  • 7/21/2019 d Lps Practica 1

    13/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 12/

  • 7/21/2019 d Lps Practica 1

    14/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 13/

  • 7/21/2019 d Lps Practica 1

    15/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 14/

  • 7/21/2019 d Lps Practica 1

    16/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 15/

    .+&ise6ar el Control &igital de un teclado matricial de '$ teniendo una

    recuencia de muestreo por l8nea de JG-#$ y con prioridad del m*s alto$

    implementar un mtodo de paro de muestreo si se detect una tecla

    presionada$ mostrar en un display de segmentos la tecla que se presion

    en el teclado.

  • 7/21/2019 d Lps Practica 1

    17/17

    INSTITUTOPOLITCNICONACIONALUNIDAD PROFESIONAL INTERDISCIPLINARIA EN

    INGENIERA Y TECNOLOGAS AVANZADAS

    Dispositivos Lgicos Programables 16/

    ?N,A: Este 4ltimo e7ercicio ue e)aluado con el e7ercicio de la pr*ctica n4mero J.

    CONCLUSIONES

    "utirre#:

    a principal uncin de esta pr*ctica es mostrarnos que podemos reali#arcircuitos tanto secuenciales$ como combinacinales en )3dl. En su uno de los

    mayores problemas que surgi al momento de reali#ar esta pr*ctica ue el de

    generar los di)ersos comparadores de recuencia.

    Campos Vardon uis Eduardo:

    En D-&$ e'isten )arias ormas de 3acer los sumadores y restadores$ desde

    usar los corrimientos$ por medio de operaciones lgicas o usando el signo @9

    @+@.

    Es una gran )enta7a poder utili#ar estos s8mbolos directamente$ ya que a3orran

    muc3o cdigo y tiempo de programacin para poder utili#arlo en la aplicacin.