Practica 4

download Practica 4

of 5

description

ARQUITECTURA DE COMPUTADORAS

Transcript of Practica 4

  • Laboratorio de Arquitectura de ComputadorasPractica No. 4

    Secuenciadores

    Objetivo: Familiarizar al alumno en el conocimiento de los secuenciadores, los cuales son una parte fundamental de unprocesador.Desarrollo: Para cada uno de los siguientes apartados, realizar los diseos electrnicos que se piden en VHDL yprobarlos en las tarjetas de desarrollo de los FPGAs.

    1.- La figura 1 muestra el diagrama de bloques de un secuenciador bsico. Como se puede observar en el diagrama, ladireccin del estado siguiente, dada por el bus Y, puede venir de dos lugares posibles: 1) del registro PC, 2) de la entradaD.

    1.1 El registro de micro-programa (PC) contiene la direccin del estado presente ms uno, es decir, la direccin que seencuentra a la salida del multiplexor es incrementada en una unidad y cargada en este registro en el siguiente ciclo de reloj.

    1.2 En la entrada D se introduce una direccin de salto. Esta direccin puede venir de tres lugares diferentes: delcampo de liga, del registro de transformacin o del registro de interrupciones.

    Figura 1. Diagrama de bloques interno de un secuenciador bsico.

    La figura 2 muestra el diagrama simblico del secuenciador en donde se indican las seales deentrada y salida de ste.

    Figura 2. Secuenciador bsico.

    * Se agradece el apoyo otorgado para el desarrollo de esta practica a DGAPA-UNAM PAPIMEPE102213

  • A continuacin se presentan las instrucciones que este secuenciador puede ejecutar:

    0 CONTINA (C)

    En la instruccin contina la direccin del estado siguiente la proporciona el registro PC.

    Figura 3. Representacin en notacin ASM de la instruccin contina.

    1 SALTO CONDICIONAL (SCO)

    En esta instruccin se revisa el valor de la lnea CC , si es igual a uno, la direccin del estadosiguiente la proporciona el registro PC; si es igual a cero, la direccin del estado siguiente, contenidaen el registro seleccionado por PL , ingresa a travs de la entrada D.

    Figura 4. Representacin en notacin ASM de la instruccin SCO.

    2 SALTO DE TRANSFORMACIN (ST)

    La direccin del estado siguiente se obtiene del registro seleccionado por la lnea de MAP . Esteregistro tambin est conectado a la entrada D. Aqu se introduce una nueva notacin de carta ASM: unrombo con varias bifurcaciones. La bifurcacin que se elija depender del contenido del registroseleccionado por MAP .

  • Figura 5. Representacin en notacin ASM de la instruccin ST.

    3 SALTO CONDICIONAL USANDO LA DIRECCIN DE LAS INTERRUPCIONES (SCI)

    En esta instruccin se revisa el valor de CC , si es igual a uno, la direccin del estado siguienteproviene del registro PC; si es igual a cero, la direccin del estado siguiente, contenida en el registroseleccionado por VECT , ingresa a travs de la entrada D.

    Figura 6. Representacin en notacin ASM de la Instruccin SCI.

    Construya un secuenciador como el descrito anteriormente usando VHDL y componentes estndares,multiplexores, registros, etc, que existan en las bibliotecas del sistema de desarrollo utilizado.

    2.- La figura 7. muestra el diagrama de bloques de un secuenciador conectado a una memoria.

    Figura 7. Secuenciador bsico conectado con una memoria.

  • En la siguiente figura se presenta una carta ASM en donde se hace uso de todas las instrucciones queun secuenciador bsico puede ejecutar. En el estado EST2 la direccin del estado siguiente estdeterminada por el contenido del registro de transformacin, seleccionado cuando el secuenciadorejecuta la instruccin ST. En el estado EST4, la direccin del estado siguiente la proporciona el registrode interrupciones o el registro PC dependiendo del valor de la entrada INT. La asignacin binaria delas variables de entrada para la carta ASM es la siguiente:

    Qx = 00X = 01Y = 10INT = 11

    Con Qx igual a 0 lgico.

    Figura 8. Carta ASM.

    La tabla 1. muestra el contenido de la memoria para la carta ASM de la figura 8.

    Direccin de laMemoria Contenido de la Memoria

    Estado Presente Liga MicroInstruccin Prueba VF S0S1S2S3Mnemnico de la

    Instruccin0000 0000 00 00 0 1 1 0 0 C0001 0000 00 00 0 0 1 1 0 C0010 0000 10 00 0 0 0 0 1 ST0011 0011 01 01 0 0 0 1 0 SCC0100 0000 11 11 1 1 0 0 0 SCI

  • 0101 0001 01 00 0 1 1 0 0 SCC0110 0000 00 00 0 0 0 1 1 C0111 0000 01 00 0 0 0 0 1 SCC1000 0000 00 00 0 1 0 0 0 C1001 0000 11 11 1 0 0 0 0 SCI1010 0001 01 00 0 1 1 0 0 SCC1011 0000 00 00 0 0 1 0 1 C1100 0000 01 00 0 0 0 0 1 SCC1101 1101 01 10 1 0 0 1 0 SCC1110 1001 01 00 0 0 0 0 0 SCC

    Tabla 1. Contenido de la memoria para la carta ASM de la figura 4.8.

    Construya el sistema descrito anteriormente el la figura 7 para que ejecute la carta ASM de la figura 8usando VHDL y componentes estndares. Pruebe el sistema en las tarjetas de desarrollo del laboratorio

    X = 01Contenido de la Memoria