MODULADORES DIGITALES PARA LA RADIO DEFINIDA POR

97
FACULTAD DE INGENIERÍA ELÉCTRICA Departamento de Electrónica y Telecomunicaciones MODULADORES DIGITALES PARA LA RADIO DEFINIDA POR SOFTWARE UTILIZANDO HERRAMIENTAS DE DISEÑO DE FPGA Autor: Ing. Yakdiel Rodríguez-Gallo Guerra Tutores: Dr. C. Juan Pablo Barrios Rodríguez Dra. C. Ileana Moreno Campdesuñer Tesis presentada en opción al Título Académico de Máster en Telemática Santa Clara 2013 “Año 55 de la Revolución”

Transcript of MODULADORES DIGITALES PARA LA RADIO DEFINIDA POR

FACULTAD DE INGENIERÍA ELÉCTRICA

Departamento de Electrónica y Telecomunicaciones

MODULADORES DIGITALES PARA LA RADIO

DEFINIDA POR SOFTWARE UTILIZANDO

HERRAMIENTAS DE DISEÑO DE FPGA

Autor: Ing. Yakdiel Rodríguez-Gallo Guerra

Tutores: Dr. C. Juan Pablo Barrios Rodríguez Dra. C. Ileana Moreno Campdesuñer

Tesis presentada en opción al Título Académico de Máster en Telemática

Santa Clara

2013

“Año 55 de la Revolución”

Universidad Central “Marta Abreu” de Las Villas Facultad de Ingeniería Eléctrica

Departamento de Telecomunicaciones y Electrónica

Moduladores Digitales para la Radio Definida por Software Utilizando Herramientas de Diseño de FPGA

Tesis presentada en opción al Título Académico de Máster en

Telemática

Autor: Ing. Yakdiel Rodríguez-Gallo Guerra E-mail: [email protected] Tutores: Dr. C. Juan Pablo Barrios Rodríguez

E-mail: [email protected] Dra. C. Ileana Moreno Campdesuñer

E-mail: [email protected]

Santa Clara 2013

“Año 55 de la Revolución”

Hago constar que la presente Tesis en Opción al Título Académico de Máster en Ciencias

Telemáticas fue realizada en la Universidad Central “Marta Abreu” de Las Villas como parte de la

culminación de estudios de Maestría en Telemática, autorizando a que la misma sea utilizada por

la Institución, para los fines que estime conveniente, tanto de forma parcial como total y que

además no podrá ser presentada en eventos, ni publicada sin autorización de la Universidad.

____________________

Firma del Autor

Los abajo firmantes certificamos que el presente trabajo ha sido realizado según acuerdo de la

dirección de nuestro centro y el mismo cumple con los requisitos que debe tener un trabajo de esta

envergadura referido a la temática señalada.

___________________ __________________

Firma del Tutor Firma del Tutor

_____________________ ________________________

Firma del Jefe de Departamento Firma del Responsable de

Información Científico-Técnica

iv

PENSAMIENTO

Deléitate asimismo en Jehová,

Y él te concederá las peticiones de tu corazón.

Encomienda a Jehová tu camino,

Y confía en él; y él hará

Salmo37.4, 5

v

DEDICATORIA

A Dios que me ha ayudado a hacer realidad este sueño.

A mis padres y mis abuelos que son el tesoro más preciado que tengo

en este mundo.

A mis hermanas por apoyarme y estar siempre a mi lado.

vi

AGRADECIMIENTOS

A Dios por amarme, ayudarme a llegar hasta este momento, guiarme, haberme dado las

fuerzas para superar todos los retos afrontados, y darme la sabiduría e inteligencia para realizar

este trabajo. Sin ti nada hubiese podido lograr. Gracias.

A mis padres por darme su amor, sabios consejos, ayuda incondicional, guiarme, dedicar

gran parte de sus vidas a educarme para que pudiese llegar hasta aquí, y ser el regalo más grande

que Dios me ha concedido en mi vida. Gracias.

A mis abuelos por su amor, cuidarme desde niño, apoyarme en mis estudios y dejar una

huella imperecedera en mi vida.

A mis hermanas por su ayuda y permanecer junto a mí, brindándome su amor en los

momentos de alegría y tristeza.

A Barrios por su paciencia, sabios consejos, ayuda incondicional, cooperación y dedicación,

sin lo cual, no hubiese podido realizar este trabajo.

A Ileana por su ayuda, sabios consejos, apoyo en los momentos difíciles y su cariño

brindado en la realización de esta investigación.

A Sergio, mi profesor, mi amigo, que aunque no se encuentra físicamente entre nosotros, su

ejemplo, sencillez, ayuda, amistad y sabios consejos, marcaron huellas imborrables en mi corazón.

vii

A Eliodoro Morales, Miguel Ángel Cabrera y Elizabeth Garnica por sus consejos y

cooperación.

A todos los profesores de la Facultad de Ingeniería Eléctrica que han colaborado con mi

superación profesional y personal, en especial a Roche, Roberto Jiménez, Chaljub, Paliza, Vitalio,

Ginori, Marlén, Héctor, Irina, Hiram, Bazán, Mendoza, José Domínguez (Pepito), Migdalia,

Avertano, Curbelo, Emilio, Pedro Julio, Gretchen, David, Mario, Samuel, Carlitos, Arco y Abreu.

A mis compañeros de trabajo de la Facultad de Ingeniería Eléctrica, en especial a Araly,

Mario Alberto, Henry, Sandy, Arnaldo, Erik, Erisbel y Jorge Luis.

A mis compañeros de trabajo de la Facultad de Ciencias Sociales, en especial a Mely,

Bermejo, Miguel Rojas, Yaiset y Pascual.

A mis amigos Raidel, Osmany, Yoandy, Ragnia, Darelis y Elys.

A mis alumnos que me imponen el reto de superarme cada día más.

A mis hermanos en la fe que siempre me confortaron y sustentaron con sus oraciones y

súplicas.

A todos los que de una forma u otra pusieron un granito de arena para permitirme llegar

hasta aquí…a ustedes infinitas GRACIAS.

viii

RESUMEN

La Radio Definida por Software (SDR) se ha convertido en tema de investigación en los últimos

años por las ventajas que brinda en el desarrollo de las comunicaciones. La mayor parte de las

plataformas SDR son implementadas en FPGAs por las facilidades que estas ofrecen, al poder

comprobarse en ellas el funcionamiento de las aplicaciones desarrolladas. Los moduladores

digitales son uno de los aspectos estudiados debido a la importancia que tienen en las

comunicaciones.

En este trabajo se desarrollan e implementan, en una FPGA Spartan 3E de la compañía Xilinx, las

modulaciones digitales ASK, OOK, FSK, BPSK en dos variantes distintas, QPSK y 16QAM;

utilizándose para esto los softwares System Generator, Matlab y Xilinx ISE, de los cuales se

exhiben sus principales características. Asimismo, se exponen investigaciones realizadas por

conocedores del tema acerca la modulación digital en SDR. Además, se explican las características

principales de los esquemas de modulación anteriormente mencionados y de las FPGAs de Xilinx,

resaltándose las del kit de desarrollo Nexys2 de Digilent. Al final de este informe se evalúan los

sistemas desarrollados, mostrándose su funcionamiento a través de simulaciones e implementación

práctica; así como los recursos lógicos usados, el gasto de potencia y el retardo ocurrido en cada

uno de ellos.

ix

ÍNDICE

INTRODUCCIÓN ........................................................................................................................... 15

CAPÍTULO 1. MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE .. 21

1.1. Caracterización de los sistemas de comunicación digital .................................................. 21

1.2. Caracterización de la Radio Definida por Software .......................................................... 23

1.2.1. Definiciones utilizadas en SDR ................................................................................. 23

1.2.2. Arquitectura en SDR .................................................................................................. 24

1.2.3. Ventajas y desventajas de SDR .................................................................................. 26

1.2.4. Tecnologías relacionadas con SDR ............................................................................ 27

1.3. Investigaciones realizadas sobre la modulación digital en SDR ....................................... 28

1.4. Conclusiones parciales ...................................................................................................... 38

CAPÍTULO 2. ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE

DISEÑO PARA FPGAS DE XILINX ............................................................................................. 40

2.1. Las técnicas básicas de modulación .................................................................................. 40

2.1.1. Características de la modulación por desplazamiento de amplitud ........................... 41

2.1.2. Características de la modulación por desplazamiento de frecuencia ......................... 42

2.1.3. Características de la modulación por desplazamiento de fase ................................... 44

2.1.4. Características de la modulación de amplitud en cuadratura ..................................... 48

2.2. Las herramientas de simulación Xilinx ISE, Matlab y System Generator ........................ 50

x

2.3. Características principales de las FPGAs .......................................................................... 52

2.3.1. El Kit de desarrollo Nexys2 ....................................................................................... 54

2.4. Conclusiones parciales ...................................................................................................... 55

CAPÍTULO 3. IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y

RESULTADOS OBTENIDOS ........................................................................................................ 56

3.1. Flujo de diseño elaborado para la implementación de las modulaciones .......................... 56

3.2. Confección de las modulaciones digitales utilizando las herramientas de simulación ..... 60

3.2.1. Modulación ASK ....................................................................................................... 61

3.2.2. Modulación OOK ....................................................................................................... 62

3.2.3. Modulación FSK ........................................................................................................ 63

3.2.4. Modulación BPSK ..................................................................................................... 63

3.2.5. Modulación QPSK ..................................................................................................... 65

3.2.6. Modulación 16QAM .................................................................................................. 65

3.3. Evaluación e implementación en el Kit Nexys2 de las aplicaciones confeccionadas ....... 68

3.3.1. Modulación ASK ....................................................................................................... 68

3.3.2. Modulación OOK ....................................................................................................... 72

3.3.3. Modulación FSK ........................................................................................................ 73

3.3.4. Modulación BPSK ..................................................................................................... 75

3.3.5. Modulación QPSK ..................................................................................................... 77

3.3.6. Modulación 16QAM .................................................................................................. 80

3.4. Conclusiones parciales ...................................................................................................... 83

CONCLUSIONES ........................................................................................................................... 84

RECOMENDACIONES .................................................................................................................. 85

GLOSARIO DE TÉRMINOS .......................................................................................................... 86

REFERENCIAS BIBLIOGRÁFICAS ............................................................................................. 88

xi

ANEXOS .......................................................................................................................................... 93

A. 1. Distribución de los pines utilizados en el Kit de desarrollo Nexys2 ............................. 93

A. 2. En la modulación ASK, área del enrutamiento en la cual se desconoce lo que existe .. 94

A. 3. Ruteo efectuado por el compilador Xilinx ISE a la modulación OOK.......................... 94

A. 4. Ruteo efectuado por el compilador Xilinx ISE a la modulación FSK ........................... 95

A. 5. Ruteo efectuado por el compilador Xilinx ISE a la Variante I de la modulación BPSK ..

....................................................................................................................................... 95

A. 6. Ruteo efectuado por el compilador Xilinx ISE a la Variante II de la modulación BPSK .

....................................................................................................................................... 96

A. 7. Ruteo efectuado por el compilador Xilinx ISE a la modulación QPSK ........................ 96

A. 8. Ruteo efectuado por el compilador Xilinx ISE a la modulación 16QAM ..................... 97

xii

LISTA DE FIGURAS Y TABLAS

Figura 1.1 Elementos básicos de un sistema de comunicación digital. ........................................... 22

Figura 1.2 Arquitectura de un transceptor digital de radio (Nur Saffiyah, 2010). ........................... 25

Figura 1.3 Arquitectura de los componentes de software en SDR (Prakash, 2012). ....................... 26

Figura 1.4 Diagrama de Venn de la tecnología inalámbrica de avanzada (Prakash, 2012). ............ 27

Figura 1.5 Modulación circular 16QAM implementada por Tariq en su trabajo investigativo. ...... 29

Figura 1.6 Modulador BPSK implementado por Popescu, Gontean y Budura. ............................... 30

Figura 1.7 Resultados obtenidos por Wei-Ming, Chen, Chiu, y Hwang en la implementación del

modulador 8PSK en un analizador lógico. ....................................................................................... 32

Figura 1.8 Sistema creado por Chien-Lun Pen utilizando el software System Generator. .............. 35

Figura 1.9 Transceptor conectado al emulador del canal vehicular propuesto por Fernández. ....... 36

Figura 1.10 Modulador confeccionado por Sonmez y Akbal en el software Quartus II. ................ 37

Figura 1.11 Módulo confeccionado y simulación expuesta en el artículo realizado por García, Rey

y Raymond. ...................................................................................................................................... 38

Figura 2.1 Modulación ASK (Xiong, 2000). ................................................................................... 41

Figura 2.2 Modulación OOK (Xiong, 2000). ................................................................................... 42

Figura 2.3 Modulación FSK coherente (Gontean, 2010). ................................................................ 43

Figura 2.4 Relación de fase de salida en función del tiempo para un modulador BPSK (Tomasi,

2003). ............................................................................................................................................... 45

Figura 2.5 Modulación BPSK: a) tabla de verdad, b) diagrama fasorial, c) diagrama de la

constelación. ..................................................................................................................................... 45

xiii

Figura 2.6 Diagrama en bloques de un transmisor QPSK (Tomasi, 2003). ..................................... 46

Figura 2.7 Fase de salida en función del tiempo en un modulador QPSK (Tomasi, 2003). ............ 46

Figura 2.8 Modulador QPSK: a) tabla de verdad, b) diagrama fasorial, c) diagrama de constelación

(Tomasi, 2003). ................................................................................................................................ 47

Figura 2.9 Diagrama de bloques de un transmisor 16QAM (Tomasi, 2003). .................................. 49

Figura 2.10 Diagrama de constelación y fasorial de un modulador 16QAM (Tomasi, 2003). ........ 50

Figura 2.11 Instalación del software System Generator (Rodríguez-Gallo, 2012). ......................... 51

Figura 2.12 Diagrama general de una FPGA (Jiménez, 2009). ....................................................... 53

Figura 2.13 Estructura simplificada de un Slice (Jiménez, 2009). ................................................... 53

Figura 2.14 Diagrama en bloques del kit Nexys2 (Digilent, 2008). ................................................ 55

Figura 3.1 Flujo de diseño confeccionado para la implementación de los sistemas. ....................... 56

Figura 3.2 Bloque System Generator configurado. .......................................................................... 57

Figura 3.3 Bloque de salida en System Generator. .......................................................................... 58

Figura 3.4 Proyecto obtenido de la síntesis de un sistema en System Generator. ........................... 59

Figura 3.5 Interfaz de programación del software Digilent Adept. ................................................. 60

Figura 3.6 Modulación ASK implementada con System Generator. ............................................... 61

Figura 3.7 Modulación ASK implementada con System Generator. ............................................... 62

Figura 3.8 Modulación FSK implementada con System Generator................................................. 63

Figura 3.9 Variante I de la modulación BPSK implementada con System Generator. ................... 64

Figura 3.10 Variante II de la modulación BPSK implementada con System Generator. ................ 64

Figura 3.11 Modulación QPSK implementada con System Generator. ........................................... 66

Figura 3.12 Modulación 16QAM implementada con System Generator ........................................ 67

Figura 3.13 Modulación ASK implementada con System Generator. ............................................. 68

Figura 3.14 Ruteo efectuado por el compilador Xilinx ISE a la modulación ASK. ........................ 70

xiv

Figura 3.15 Muestra de Slices utilizados en la implementación de la modulación ASK. ............. 70

Figura 3.16 Esquemático de la modulación ASK. ........................................................................... 71

Figura 3.17 Modulación ASK implementada en la Tarjeta Nexys2. ............................................... 71

Figura 3.18 Resultado alcanzado de la implementación de la modulación ASK en la tarjeta

Nexys2. ............................................................................................................................................. 72

Figura 3.19 Modulación OOK implementada con System Generator. ............................................ 72

Figura 3.20 Modulación FSK implementada con System Generator............................................... 74

Figura 3.21 Modulación BPSK implementada con System Generator. ........................................... 75

Figura 3.22 Modulación QPSK implementada con System Generator. ........................................... 77

Figura 3.23 Señales en el modulador QPSK implementado con System Generator........................ 78

Figura 3.24 Señales en el demodulador QPSK implementado con System Generator. ................... 79

Figura 3.25 Modulación 16QAM implementada con System Generator. ....................................... 80

Figura 3.26 Señales en el modulador 16QAM implementado con System Generator. ................... 81

Figura 3.27 Señales en el demodulador 16QAM implementado con System Generator. ................ 82

Tabla 2.1 Librerías de System Generator en Simulink (Xilinx, 2011). ........................................... 52

Tabla 3.1 Sumario de la utilización de los recursos en la FPGA de la modulación ASK. .............. 69

Tabla 3.2 Sumario de la utilización de los recursos en la FPGA de la modulación OOK. .............. 73

Tabla 3.3 Sumario de la utilización de los recursos en la FPGA de la modulación FSK. ............... 74

Tabla 3.4 Sumario de la utilización de los recursos en la FPGA de la Variante I de la modulación

BPSK. ............................................................................................................................................... 76

Tabla 3.5 Sumario de la utilización de los recursos en la FPGA de la Variante II de la modulación

BPSK. ............................................................................................................................................... 76

Tabla 3.6 Sumario de la utilización de los recursos en la FPGA de la modulación QPSK. ............ 79

Tabla 3.7 Sumario de la utilización de los recursos en la FPGA de la modulación 16QAM. ......... 82

INTRODUCCIÓN

Hace algunas décadas, los sistemas de comunicación eran analógicos, pero el progreso de la

tecnología hizo posible la transmisión de los datos de forma digital. Esto ha provocado en los

últimos años la introducción del software en los sistemas de radio, creándose el concepto de la

Radio Definida por Software (SDR), lo cual ha ocasionado una revolución en la ingeniería de radio

(Islam et al., 2009). Este término fue introducido por Joseph Mitola, de la Corporación MITRE, en

1991. Los primeros en utilizarla fueron las fuerzas armadas de EE.UU. para conseguir

comunicaciones permanentes en distintas bandas con un solo equipo, asegurar compatibilidad

entre sistemas de comunicación de las diversas ramas: ejército, armada, fuerza aérea; y lograr

adaptabilidad frente a nuevas innovaciones de componentes y equipos (Aldaz, 2009).

En los sistemas SDR, el inicio y el final de la parte de radio frecuencia (RF) están confinados al

control del hardware, puesto que el comportamiento es decidido por el software implementado.

Actualmente, las funciones de radio en los sistemas de comunicaciones están comúnmente

implementadas en un hardware dedicado (Mehta et al., 2011).

Para la realización de estos sistemas se hace necesaria la utilización de dispositivos que posean un

nivel de procesamiento alto para el tratamiento digital de la señal. Uno de los usados con este

propósito son los Arreglos de Puertas Lógicas Programables (FPGA). A pesar de que desde la

década de los ochenta del siglo XX se han logrado avances en el procesamiento de las señales

digitales, se considera que el poder de procesamiento de las FPGA no es suficiente para la

implementación de sistemas complejos. La potencia de procesamiento requerida se espera que esté

disponible en un futuro próximo (Manjula and Sandya, 2012).

Algunas compañías fabricantes de FPGA realizan grandes esfuerzos en el desarrollo de

herramientas que faciliten la implementación de los sistemas SDR en sus dispositivos. Un ejemplo

de esto lo constituye la compañía Xilinx, que ha desarrollado el software System Generator, el cual

puede utilizarse con ese propósito.

INTRODUCCIÓN 16

System Generator es una herramienta que se integra a Matlab para el diseño, simulación e

implementación de circuitos en FPGA. Esta unión permite manejar un nivel de abstracción elevado

y proporciona un entorno gráfico que facilita la descripción del algoritmo que se va a implementar.

Además, este software traslada de forma automática el sistema confeccionado a un lenguaje de

descripción de hardware (HDL).

Los conocedores del tema expresan que el uso de las tecnologías SDR permitirán nuevas funciones

en las comunicaciones inalámbricas. Un ejemplo de esto lo constituyen Joseph y Kumar, quienes

han explicado el impacto de la utilización de SDR en las tecnologías inalámbricas de tercera y

cuarta generación (3G y 4G), al poderse añadirse a las existentes, sin necesidad de desarrollar un

nuevo hardware en las aplicaciones móviles y los sistemas de radio. Además, consideran que la

posibilidad de definir las funcionalidades típicas de una interfaz de radio mediante software es una

oportunidad para mejorar el rendimiento del sistema (Joseph and Kumar, 2012).

Los moduladores son considerados por Sakla, Jain y Gautam (Sakla et al., 2010) como los

requerimientos básicos de los sistemas de radio comunicación; de ahí que estos sean objeto de

estudio por los investigadores de SDR. Las tres formas básicas de la modulación digital en los

sistemas de transmisión de datos según indican Ginori (Lorenzo-Ginory, 1983) y Leung (Leung,

2012) son: la Modulación por Desplazamiento de Amplitud (ASK), la Modulación por

Desplazamiento de Frecuencia (FSK) y la Modulación por Desplazamiento de Fase (PSK).

Cuba, es un país en vías de desarrollo que se encuentra inmerso en un proceso de

perfeccionamiento con el objetivo de aumentar su desarrollo económico y social. En la revisión

efectuada para esta investigación en revistas prestigiosas del país y en Internet, solamente se

encontró un trabajo publicado (García et al., 2012) sobre este tema por autores cubanos, lo cual

demuestra la necesidad que existe de empezar a investigar en esta área.

En la Facultad de Ingeniería Eléctrica de la Universidad Central “Marta Abreu” de Las Villas,

Cuba, se están dando los primeros pasos en el campo de SDR, por lo que el presente trabajo podrá

utilizarse como punto de partida para la implementación en el futuro de sistemas SDR más

complejos que los desarrollados en esta investigación. Además, puede valorarse la utilización de

los resultados alcanzados en el ámbito docente de la carrera de Ingeniería en Telecomunicaciones

INTRODUCCIÓN 17

y Electrónica en el futuro; y así continuar avanzando en la elevación de la calidad del proceso

docente educativo, cuestión que aparece reflejada en los Lineamientos de la Política Económica y

Social del Partido y la Revolución (Sexto Congreso del Partido Comunista de Cuba, 2011).

Teniendo en cuenta lo anterior surge como problema científico de este trabajo:

¿Qué hacer para contribuir en la investigación e implementación de las modulaciones digitales en

FPGAs para la radio definida por software?

La investigación tiene como objeto de estudio los moduladores digitales en la Radio Definida por

Software y el objetivo general que se propone es: Desarrollar moduladores digitales para la Radio

Definida por Software utilizando las herramientas modernas de diseño de FPGAs System

Generator, Matlab y Xilinx ISE.

El campo de acción lo constituye la investigación y programación de moduladores digitales en las

herramientas de diseño System Generator, Matlab y Xilinx ISE, para su implementación en una

FPGA de Xilinx.

A partir del objetivo general se derivan los siguientes objetivos específicos:

1. Caracterizar los sistemas de comunicación digital en la Radio Definida por Software a

través de la consulta de información actualizada en el ámbito nacional e internacional.

2. Caracterizar esquemas de modulación digital para su implementación en herramientas de

simulación.

3. Describir las herramientas de simulación Matlab, Xilinx ISE y System Generator; así como

la FPGA de Xilinx que se va a utilizar en la implementación de las modulaciones digitales.

4. Elaborar un flujo general de diseño para la implementación de las modulaciones digitales.

5. Implementar los moduladores digitales utilizando las herramientas de simulación para

programarlos en una FPGA de Xilinx.

6. Evaluar el funcionamiento de los moduladores digitales desarrollados en las herramientas

de software y en una FPGA de Xilinx.

En el desarrollo de la investigación se da respuesta a las siguientes preguntas científicas:

INTRODUCCIÓN 18

¿Cómo se aborda en la actualidad la investigación de los sistemas de comunicaciones en la

Radio Definida por Software?

¿Qué investigaciones se han realizado sobre la modulación digital en la Radio Definida por

Software?

¿Cuáles son las características que poseen los moduladores digitales para implementarlos

en herramientas de simulación y programarlos en una FPGA de Xilinx?

¿Qué particularidades poseen las herramientas de simulación y la FPGA de Xilinx que se

va a utilizar para programar los moduladores digitales?

¿Para conseguir la implementación y evaluación de las modulaciones digitales en los

softwares y la FPGA, qué flujo general de diseño elaborar?

¿Qué resultados se obtendrán al evaluar el funcionamiento de los moduladores digitales

programados?

En correspondencia con el objetivo del presente trabajo, fue necesario aplicar los siguientes

métodos de investigación científica:

De Nivel Teórico:

• Histórico-lógico: Para caracterizar la evolución del proceso en los antecedentes históricos

de la modulación digital en la Radio Definida por Software, desde su origen y desarrollo.

• Inducción-deducción: Su aplicación permitió dar respuesta a las interrogantes planteadas a

partir de los resultados obtenidos en las simulaciones e implementación en la FPGA,

permitiendo arribar a las conclusiones de la investigación.

• Análisis-síntesis: Permitió penetrar en la esencia del fenómeno objeto de estudio a partir de

su descomposición, pudiéndose establecer nexos, comparar resultados, determinar puntos

comunes y divergentes, de la modulación digital en la Radio Definida por Software;

lográndose establecer los componentes de la investigación, su fundamentación, el diseño de

soluciones y el análisis de los resultados.

• La modelación: Permitió investigar y evaluar el comportamiento de las modulaciones

digitales, al implementarlas en las herramientas de simulación Matlab, Xilinx ISE y System

Generator.

INTRODUCCIÓN 19

De Nivel Empírico:

• La medición: Para comparar y evaluar el funcionamiento de las modulaciones digitales

desarrolladas.

Con este trabajo se pretende aumentar los conocimientos sobre la Radio Definida por Software en

los sistemas de comunicación, y al mismo tiempo, impulsar la investigación en esta área, para lo

cual se desarrollan modulaciones digitales, las que son simuladas e implementadas en una FPGA.

Asimismo, el flujo general de diseño elaborado traza el camino que se debe seguir para obtener

resultados satisfactorios en la realización de este tipo de sistema.

El impacto que se espera de este trabajo es que sirva como punto de partida para la creación en el

futuro de sistemas más complejos en SDR, y que los módulos confeccionados puedan formar parte

de esos nuevos proyectos investigativos; de ahí que los resultados alcanzados serán de una

aplicación práctica y teórica, estando al alcance de todos los que trabajen en este tema.

Su actualidad científica radica en que la Radio Definida por Software es un tema estudiado en la

actualidad por los investigadores del área de las comunicaciones, no encontrándose excluidas de

ese proceso las modulaciones digitales. A lo anterior se le adiciona que las herramientas de

simulación utilizadas en este trabajo poseen gran prestigio y reconocimiento internacional por su

versatilidad y prestaciones, siendo utilizadas en estos momentos en la realización de aplicaciones

con FPGAs de Xilinx.

El informe se ha estructurado de la siguiente manera: introducción, tres capítulos, conclusiones,

recomendaciones, bibliografía y anexos.

En el primer capítulo se exponen las características principales de los sistemas de comunicación

digital, así como de la Radio Definida por Software. Seguidamente se presentan resultados

alcanzados en trabajos investigativos que se han desarrollado sobre la modulación digital en SDR,

tanto en el ámbito nacional como internacional.

En el segundo capítulo se realiza una caracterización de los esquemas de modulación que se

investigan en este trabajo. A continuación se exponen las herramientas de simulación Matlab,

INTRODUCCIÓN 20

Xilinx ISE y System Generator. Finalmente se explican las características principales que poseen

las FPGAs y el Kit de desarrollo Nexys2 de Digilent.

En el último capítulo se presenta el flujo de diseño elaborado para implementar las aplicaciones

desarrolladas en una FPGA de Xilinx. Seguido se explica la programación de las modulaciones

digitales en el software System Generator. Finalmente se evalúa el funcionamiento a través de la

simulación e implementación en el Kit de desarrollo Nexys2 de las modulaciones digitales

confeccionadas. Como culminación de este trabajo se presentan las conclusiones, recomendaciones

y anexos.

CAPÍTULO 1. MODULACIÓN DIGITAL EN LA RADIO

DEFINIDA POR SOFTWARE

En el presente capítulo se realiza una caracterización de los sistemas de comunicación.

Seguidamente se explica la Radio Definida por Software, mostrándose sus definiciones,

arquitectura, principales ventajas y desventajas, así como tecnologías relacionadas con ella.

Finalmente se presentan trabajos investigativos que se han desarrollado sobre la implementación

de la modulación digital en SDR, reflejándose sus principales aportes y debilidades.

1.1. Caracterización de los sistemas de comunicación digital

Los sistemas de comunicación están formados por tres componentes básicos: el transmisor, el

canal y el receptor (Mehta et al., 2011). Los elementos básicos de un sistema de comunicación

digital se muestran en la Figura 1.1(Tariq, 2011), (Kumar, 2010), (Gontean, 2010).

El primer bloque básico que conforma el transmisor es la fuente de datos, la cual puede ser digital

o analógica. A continuación se encuentra el bloque del Convertidor Analógico-Digital (ADC). En

él se realiza el proceso de conversión de las señales analógicas a digitales. Seguidamente se

encuentra el bloque codificador de la fuente o de compresión de los datos, el cual acepta la señal

digital y la convierte en una secuencia de dígitos binarios (Proakis, 2001a).

Mientras que el bloque codificador de la fuente elimina la redundancia no deseada en la

información que se envía, el bloque codificador del canal, el cual se halla a continuación, introduce

redundancia con el fin de evitar los errores que puedan surgir, de modo que algunos de los

causados por el ruido o interferencia en el canal se puedan corregir en el receptor (Proakis, 2001a),

(Xiong, 2000).

Posteriormente está el bloque del modulador. Su propósito principal es trasladar los símbolos

discretos en una forma de onda analógica que pueda ser transmitida a través del canal de

comunicación (Proakis, 2001a), (Xiong, 2000).

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 22

Figura 1.1 Elementos básicos de un sistema de comunicación digital.

A través del canal de comunicación se transmite la señal (mensaje) desde la fuente hasta el

receptor. Las características de un canal de comunicación pueden variar ampliamente (Proakis,

2001a). Según el modo de transmisión se distinguen dos grupos de canales principales: los basados

en la propagación guiada y los de libre propagación. Dentro del primer grupo se encuentra la fibra

óptica, el cable coaxial y los canales telefónicos. El segundo grupo incluye canales inalámbricos de

transmisión, canales móviles de radio y canales por satélite. Cada uno de estos tipos de canales

tienen sus características específicas y el efecto del ruido es diferente en cada uno de ellos

(Haykin, 2001).

En el receptor, se le realiza a la señal recibida un proceso similar al efectuado en el transmisor, lo

que en sentido contrario. Primero, la señal recibida es amplificada y seguidamente demodulada

(Xiong, 2000). Durante este proceso se realiza la sincronización. El objetivo final del

demodulador, según refleja Madhow en su trabajo (Madhow, 2008), es producir decisiones

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 23

tentativas en los símbolos transmitidos para ser enviados al decodificador del canal. A

continuación, el decodificador del canal detecta y corrige los errores que se han producido en la

transmisión de la señal, quitándose la redundancia. Finalmente el decodificador de la fuente

recupera la señal a su forma original y la envía al usuario, utilizándose, de ser necesario, un

convertidor digital-analógico (DAC).

1.2. Caracterización de la Radio Definida por Software

El término de la Radio Definida por Software (SDR) fue introducido por Joseph Mitola, de la

Corporación MITRE, en 1991. Su primer artículo sobre este tema fue publicado en 1992 en la

IEEE National Telesystems Conference (Mitola III, 1993). Este concepto se investigó por primera

vez en el área militar y se trasladó posteriormente al área de la comunicación civil (Hatai and

Chakrabarti, 2010).

El concepto de SDR se ha aplicado en el mercado militar de los Estados Unidos de América a

través del sistema de radio táctica conjunta (JTRS), especificación que proporciona

interoperabilidad entre los equipos de radio utilizados por los aviones de combate, soportando

múltiples formas de onda de diversos contratistas de defensa. De la misma manera, se ha ido

creando soporte para el mercado de la radio, en el cual se han introducido tecnologías como GSM,

GPRS, CDMA, UMTS, WiMAX, LTE, WLAN y Bluetooth (Sreedaranath, 2010).

1.2.1. Definiciones utilizadas en SDR

Existen diversas definiciones de SDR. El Foro de Innovación Inalámbrica (FII), en colaboración

con el Instituto de Ingenieros Eléctricos y Electrónicos (IEEE), grupo P1900.1, ha trabajado para

establecer una definición de SDR que proporcione consistencia y una visión clara de la tecnología

y sus beneficios asociados. La han definido como: “La radio en la que todas o algunas de las

funciones de la capa física son definidas por software” (IEEE Xplore, 2012), (Nur Saffiyah, 2010).

Se considera que una radio es cualquier tipo de dispositivo que transmite en forma inalámbrica o

recibe señales de frecuencia de radio (RF) del espectro electromagnético, con la finalidad de

facilitar la transferencia de información. Actualmente, en el mundo, la radio existe en una multitud

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 24

de elementos tales como: teléfonos celulares, computadoras, abridores de puertas de automóviles,

vehículos y televisores (Prakash, 2012).

Otro de los conceptos utilizados para referirse a SDR es el que aparece en los trabajos (Gupta,

2012), (Bhuvaneshwaran et al., 2012) y (Marwanto et al., 2009), los cuales definen a SDR como

un sistema de comunicación por radio que implementa en software componentes que han sido

típicamente implementados en hardware, tales como filtros, amplificadores, multiplexores y

moduladores; y los componentes del software son típicamente implementados en dispositivos

computacionales embebidos o computadoras personales.

Adicionalmente, una definición de SDR es proporcionada por el foro de SDR (Xilinx, 2012a),

donde se expresa que SDR es la radio que acepta totalmente el tráfico programable y la

información de control; y soporta una amplio rango de frecuencias, interfaces de aire y softwares

de aplicación. El foro de SDR divide una radio entre diferentes niveles de flexibilidad. Estos son:

Radio de hardware (HR): En ella los atributos del sistema no se pueden cambiar ya que la

funcionalidad de la radio de hardware es fija. Sin embargo, esta radio puede utilizar un

software interno, siempre que no se pueda modificar externamente (Wireless Innovation

Forum, 2012).

Radio Controlado por Software (SCR): Esta es la radio en la que sólo las funciones de

control son implementadas en software. Por ejemplo, el nivel de potencia transmitida en

una radio puede ser controlado por software, mientras que todas las otras funciones están

fijas en el hardware (Wireless Innovation Forum, 2012).

Radio Definida por Software (SDR): Estas son las radios que ofrecen un software de

control de casi todas las funciones de radio, incluida la modulación, multiplexación, la

amplificación y los mezcladores superheterodinos (Wireless Innovation Forum, 2012).

1.2.2. Arquitectura en SDR

En la Figura 1.2 se muestra el diagrama de bloques de un transceptor de radio digital, a través del

cual se muestran los componentes de la arquitectura de hardware en SDR. El mismo está

conformado por tres secciones fundamentales: la sección de RF, la sección de frecuencia

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 25

intermedia (IF) y la sección de banda base. A continuación se explican brevemente estas tres

secciones.

Figura 1.2 Arquitectura de un transceptor digital de radio (Nur Saffiyah, 2010).

A. Sección de RF

La sección de RF es la encargada de la transmisión y recepción de la señal recibida a través de la

antena. En el proceso de recepción, se convierte la señal de RF a IF para su posterior

procesamiento en la sección de IF. En el proceso de transmisión se realiza un procedimiento

contrario al utilizado en el de recepción, adecuándose las señales para la transmisión en el aire.

B. Sección de IF

La sección de IF es la encargada de pasar la señal de IF a banda base y digitalizarla, en el caso de

la recepción, o pasar la señal de banda base a IF en la transmisión. En ella se utilizan convertidores

para bajar (DDC) o subir (DUC) digitalmente la señal de IF a banda base o de banda base a IF,

según corresponda.

C. Sección de banda base

En esta sección se realizan operaciones, tales como la configuración de la conexión, la

ecualización, los saltos de frecuencia, la recuperación del sincronismo de las señales, la

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 26

modulación y demodulación, entre otras. En los sistemas SDR, el procesamiento en banda base se

debe efectuar a través de software (Nur Saffiyah, 2010).

En la Figura 1.3 se muestra la arquitectura de los componentes de software en un sistema SDR

típico (Prakash, 2012). El sistema utiliza una plataforma de hardware genérico con módulos

programables (DSPs, FPGAs) y módulos analógicos de RF. El ambiente de operaciones realiza

actividades de gestión de recursos de hardware como es la asignación de recursos para diferentes

aplicaciones y el manejo de memoria. En el sistema SDR, los módulos de software que

implementan protocolos de la capa de enlace y las operaciones de modulación/demodulación se

denominan aplicaciones de radio, y estas aplicaciones proporcionan servicios de la capa de enlace

para los protocolos de las capas superiores de comunicación (WAP y TCP/IP ) (Joseph Mitola,

2000).

Figura 1.3 Arquitectura de los componentes de software en SDR (Prakash, 2012).

1.2.3. Ventajas y desventajas de SDR

Una de las ventajas que ofrece SDR es que el mismo dispositivo de hardware puede ser

configurado para realizar diferentes funciones. Además, la capacidad de reprogramación del

sistema permite la reutilización del hardware hasta que una nueva generación de plataformas de

hardware esté disponible, lo cual proporciona ahorros de costos y de tiempo.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 27

Peng en su investigación (Peng, 2010) explica que las técnicas avanzadas del procesamiento de

señales que implican múltiples antenas y técnicas de modulación adaptativas se pueden

implementar en SDR sin necesidad de hacer cambios importantes de hardware en el transceptor, lo

que evidencia su utilidad. Además, considera que los sistemas SDR pueden mejorar la

interoperabilidad de diferentes sistemas, en aplicaciones como las militares, la policía, o equipos

de búsqueda y rescate, al eliminarse la incompatibilidad entre ellos.

Un nuevo enfoque en el diseño de la estación base inalámbrica con SDR tiene el potencial de

ofrecer beneficios, tales como la reducción del tamaño, la complejidad y el consumo de energía. A

lo anterior se le suma que SDR puede soportar, a la vez, una variedad de esquemas de modulación

y protocolos.

Si bien los SDR ofrecen beneficios, como se indicó anteriormente, también hay desventajas en su

diseño e implementación. Su principal dificultad radica en el diseño del software para los diversos

sistemas o estándares debido a la complejidad de estos.

1.2.4. Tecnologías relacionadas con SDR

SDR puede actuar como una tecnología importante para una variedad de equipos de radio

reconfigurables de tecnología inalámbrica de avanzada (Prakash, 2012), de la cual se muestra en la

Figura 1.4 su diagrama de Venn, y se explica brevemente a continuación.

Figura 1.4 Diagrama de Venn de la tecnología inalámbrica de avanzada (Prakash, 2012).

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 28

Radio Adaptativa (AR)

Es la radio en la que los sistemas de comunicaciones tienen un medio de control de su propio

desempeño y modifican sus parámetros de funcionamiento para mejorar este rendimiento. El uso

de las tecnologías de SDR en un sistema de radio adaptativa permite mayores grados de libertad en

la adaptación, y por tanto niveles más altos de rendimiento y mejor calidad de servicio en un

enlace de comunicaciones (Xilinx, 2012a).

Radio Cognitiva (CR)

Es la radio en la que los sistemas de comunicación son conscientes de su estado interno y del

estado del medio, tal como su ubicación y la utilización del espectro de RF en ese lugar. CR fue

introducida por Mitola en 1999 como una extensión de la radio de software (Le Nir and Scheers,

2012). La utilización de estos elementos es fundamental para permitir que los usuarios finales

puedan hacer un uso óptimo del espectro de frecuencias disponibles y de las redes inalámbricas

(Benidris et al., 2012).

Radio Inteligente

Es la radio cognitiva que es capaz de poseer un aprendizaje automático. Esto permite a la radio

cognitiva mejorar la manera de adaptarse a los cambios en el rendimiento y del medio, para servir

mejor a las necesidades del usuario final (Le Nir and Scheers, 2012).

1.3. Investigaciones realizadas sobre la modulación digital en SDR

Uno de los temas tratados por los investigadores en el campo de SDR es la modulación digital.

Esto se debe a que es el mecanismo básico a través del cual la información es transmitida sobre el

canal de comunicación, por lo que es utilizada en protocolos de comunicación (Singh, 2012).

A continuación se presentan investigaciones que se han realizado sobre esta temática.

Assad Tariq en el trabajo (Tariq, 2011) investiga la modulación en un módem microondas de 10

Gbps, que fue diseñado para trabajar en la banda E del espectro radioeléctrico. Su investigación se

centra en la implementación de la modulación circular 16QAM en el software System Generator

de Xilinx, siendo esto su principal aporte. Además, usándose las prestaciones que brinda la

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 29

herramienta de simulación Simulink de Matlab, se le adiciona al modelo creado un Canal de Ruido

Blanco Gaussiano (AWGN). El autor realiza un estudio teórico de este tipo de modulación y uno

experimental a través de la Co-simulación, para lo cual utiliza dos FPGAs, una Virtex5 y una

Spartan 3A.

Tariq no explica en el artículo cómo confeccionó la modulación circular 16QAM en el software

System Generator, solamente se limita a mostrar imágenes de la misma (Figura 1.5). Además, no

expone los recursos consumidos en las dos FPGAs que utilizó en la implementación del sistema.

La importancia de este trabajo radica en que forma parte de un proyecto que se originó debido a

que las empresas Ericsson AB, en colaboración con la BitSim A, están investigando para alcanzar

10 Gbps en los enlaces de microondas.

Figura 1.5 Modulación circular 16QAM implementada por Tariq en su trabajo

investigativo.

Popescu, Gontean y Budura en el artículo (Popescu, Gontean, and Budura, 2011) presentan la

simulación de un modulador BPSK usando los softwares Simulink de Matlab y el System

Generator de la compañía Xilinx en su versión 12.3 (Figura 1.6). Estos investigadores explican que

la tecnología FPGA ha alcanzado un rol importante en el desarrollo de la comunicación móvil

debido a características que posee: flexibilidad, precisión y configurabilidad. El sistema creado se

implementó en una FPGA Spartan 3E Starter Kit y los resultados obtenidos en las simulaciones

fueron comparados con los alcanzados en la FPGA, aunque se precisa que no se usó ningún

osciloscopio, ni la Co-simulación.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 30

Figura 1.6 Modulador BPSK implementado por Popescu, Gontean y Budura.

Popescu, Gontean y Budura proponen para futuros trabajos sobre el tema, la creación de un

demodulador BPSK y de un canal AWGN; y analizar el comportamiento del sistema programado a

través de la Co-simulación y en un osciloscopio digital.

Por su parte, algunos de estos autores, en el artículo (Popescu, Gontean, and Ianchis, 2011),

presentan un modulador QPSK desarrollado en el ambiente de Simulink en Matlab, utilizando el

software System Generator. El sistema después de estar confeccionado es sintetizado,

obteniéndose su código VHDL, con el cual posteriormente se programa una FPGA Spartan 3E

Starter Kit. Los autores utilizan la versión 12.3 del software Xilinx ISE.

Al igual que en el artículo anterior (Popescu, Gontean, and Budura, 2011), los autores proponen

seguir trabajando en este campo e implementar el demodulador QPSK usando esas herramientas

de diseño.

En el artículo (Bagga and Tripathi, 2011), Bagga y Tripahti describen una aplicación que explota

la flexibilidad de SDR y está basada en el uso de rasgos estocásticos, los cuales son sacados de

rasgos instantáneos con el propósito de clasificar las señales de la modulación digital. Ellas

demuestran que su método es capaz de diferenciar señales ASK2, ASK4, FSK2, FSK4, PSK2 y

PSK4 en la salida de un canal AWGN, ante la variación de la relación señal/ruido (SNR). Los

resultados que alcanzaron son mostrados a través de gráficas y tablas. El sistema es confeccionado

utilizando la herramienta de simulación Simulink de Matlab, por lo que proponen para futuros

trabajos implementar en FPGAs el método creado para evaluar su efectividad, así como los

distintos tipos de modulación utilizados.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 31

En el artículo (Bagga and Tripathi, 2012) las investigadoras Bagga y Tripahti explican la

clasificación automática de la modulación (AMC), la cual es un procedimiento que se realiza en el

receptor basándose en la señal recibida antes de efectuarse el proceso de demodulación, con el

propósito de que el receptor identifique el tipo de modulación que se está utilizando. Se explica

que la AMC desempeña un importante papel para la implementación de SDR en la cuarta

generación de los sistemas de comunicación, y que la capacidad de seleccionar automáticamente el

esquema de modulación correcto utilizado por una señal recibida desconocida, es una gran ventaja

en una red inalámbrica. El método que ellas crean es implementado en el software Simulink de

Matlab, y utilizan las modulaciones 2ASK, 4ASK, 2FSK, 4FSK, BPSK, QPSK y 16QAM. Los

resultados que se obtienen son satisfactorios, quedando para futuros trabajos la implementación en

FPGAs de las modulaciones y el método creado.

Bhawna, Kaur y Lall explican en el artículo (Bhawna et al., 2012) la importancia de la utilización

de las técnicas de modulación digital en SDR y en la radio cognitiva (CR), al poderse utilizar en

aplicaciones militares. Ellos describen diferentes algoritmos de reconocimiento de la modulación a

través de las características principales de cada una de ellas. Los autores no realizan ninguna

implementación en softwares. Este trabajo aporta una revisión actualizada y da una visión general

de lo que se está realizando en este campo.

En el artículo (Chen et al., 2005), Wei-Ming, Chen, Chiu y Hwang presentan un generador de

señales moduladas con efectos de desvanecimientos, basándose en el concepto de SDR. El sistema

es implementado en una FPGA de Altera Stratix EP1S25. Los autores, usando el lenguaje de

descripción de hardware Verilog, implementaron un modulador digital BPSK, uno QPSK y otro

8PSK; y además, un canal Rayleigh. En la Figura 1.7 se muestran los resultados alcanzados en un

analizador lógico de la programación del modulador 8PSK.

Wei-Ming, Chen, Chiu y Hwang en el artículo no muestran, ni explican ningún fragmento de los

códigos en Verilog que desarrollaron.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 32

Figura 1.7 Resultados obtenidos por Wei-Ming, Chen, Chiu, y Hwang en la

implementación del modulador 8PSK en un analizador lógico.

En el artículo de investigación (Christos et al., 2011), Christos, junto con otros autores, explican la

importancia de la implementación de la técnica de modulación digital QAM en los sistemas de

multiprocesadores en chip (MPSoC) para los sistemas SDR. Ellos exponen una metodología de

diseño que han creado con estos fines. Los autores presentan la arquitectura de un modulador

QAM utilizando MPSoC. Además, se investiga el uso de un algoritmo de asignación de recursos

de hardware y se proporcionan los resultados obtenidos en la simulación sobre el rendimiento de la

modulación QAM. Los investigadores proponen que en futuros trabajos, en el sistema

desarrollado, se deben incluir la transformada rápida de Fourier (FFT) y la corrección de errores

hacia adelante (FEC). También plantean la necesidad de seguir investigando en la optimización del

área utilizada en los dispositivos programables, así como en la reducción del consumo de potencia

de estos sistemas.

Hatai y Chakrabarti en el artículo (Hatai and Chakrabarti, 2010) explican sobre las investigaciones

que han tenido lugar en los últimos tiempos en el área de SDR. El trabajo se enfoca en el

desarrollo de un modulador programable QPSK para satisfacer la exigencia de varios estándares de

comunicación inalámbricos establecidos (2G y 3G). Los autores demuestran, a través de gráficos

donde utilizan el software Xilinx ISE 9.2, que el diseño propuesto es capaz de funcionar a una

velocidad de datos máxima de 77 Mbps en una FPGA de Xilinx Virtex2 Pro. Los investigadores

implementaron los filtros usando aritmética distribuida con el fin de reducir la complejidad

computacional, lograr la reducción del consumo de energía y mejorar el rendimiento. Ellos no

muestran la programación que desarrollaron en su investigación.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 33

Hederström explica en el trabajo (Hederström, 2010) la rápida evolución que están teniendo las

comunicaciones móviles y que esto ha traído como consecuencia la necesidad de aumentar las

razones de transmisión de los datos. El autor dice que una forma rentable de lograr lo

anteriormente dicho es mediante el uso de enlaces de microondas entre las estaciones base, pero

que a medida que los requerimientos en la razón de transmisión de los datos aumenta, la capacidad

de los enlaces de microondas va a ir aumentando también. El investigador presenta el diseño de un

banco de pruebas en una FPGA de Altera para moduladores QAM, con el propósito de ayudar en

el desarrollo de la próxima generación de alta velocidad para enlaces de microondas de la banda E.

Hederström implementa utilizando el software Simulink de Matlab, y posteriormente en el

lenguaje de descripción de hardware Verilog, a través de la herramienta de simulación Quartus II,

los moduladores QPSK, 8QAM, 16QAM, 64QAM y 256QAM, lo cual constituye el aporte

principal de esta investigación. El autor explica que este trabajo surgió en el marco de un proyecto

investigativo de la universidad tecnológica de Chalmers y las compañías Ericsson AB, Gotmic AB

y Bitsim AB. La confección de demoduladores QAM se propone como tema para futuras

investigaciones.

Zhang, Ma, y Liu en el artículo (Zhang et al., 2011) estudian la aplicabilidad de SDR en la

estructura de un receptor de radio con la finalidad de simplificar, disminuir su costo de hardware y

mejorar su portabilidad. Sobre la base de introducir el principio de la demodulación π/4-DQPSK

en estos equipos, ésta se implementa en una FPGA Spartan3S400 utilizando VHDL. Los autores

optimizan y verifican el funcionamiento del módulo desarrollado, lo cual demuestran a través de

tablas comparativas que presentan sobre el consumo de recursos en la FPGA. Ellos arriban a la

conclusión de que el sistema programado satisface las necesidades de un receptor de radio,

señalándose la importancia que tiene su optimización.

Tarniceriu, Lordache y Spiridon en el artículo (A. Tarniceriu et al., 2007) señalan que las

modulaciones digitales BPSK, QPSK y 16QAM son utilizadas en las comunicaciones

inalámbricas. Ellos analizan las características de los esquemas de modulación anteriormente

mencionados, y determinan la figura de mérito de cada uno de ellos, al implementarlos en la

herramienta de simulación Simulink de Matlab. En el trabajo se hace hincapié en la importancia de

la figura de mérito en el contexto de SDR. Los autores manifiestan la importancia de su

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 34

investigación ya que en los estándares inalámbricos IEEE 802.16d e IEEE 802.16e se utilizan esas

modulaciones. Al finalizar arriban a la conclusión de que los sistemas SDR pueden soportar varios

esquemas de modulación, al poder elegir, con la ayuda de la radio digital reconfigurable, el tipo de

modulación adecuado, en función de la calidad esperada en el canal de transmisión.

En el artículo (Manjula and Sandya, 2012) Manjula y Sandya explican que las Redes de Área

Personal (PAN) se rigen por el estándar IEEE 802.15.4. Ellos indican que debido a que la capa

física IEEE 802.15.4 emplea las técnicas de modulación QPSK y BPSK, y a la importancia de su

implementación para su aplicación en SDR, deciden investigarlas. La modulación QPSK es

implementada en el software GNU Radio, exhibiéndose las ventajas que posee esta herramienta de

programación para la implementación de sistemas SDR. Los autores se enfocan en las posibles

soluciones disponibles en SDR para la creación de un transceptor BPSK utilizando el software

System Generator de la compañía Xilinx, lo cual sugieren realizar en futuras investigaciones.

Chien-Lun Pen en el trabajo (Peng, 2010) analiza la capa física del estándar 802.16d de la IEEE,

conocido como WiMAX fijo, y programa utilizando el software System Generator el randomizer,

el de-randomizer y el codificador/decodificador Reed Solomon (Figura 1.8). El resto de la capa

física es confeccionada utilizando las prestaciones que brinda la herramienta Simulink de Matlab.

A través de la Co-simulación se implementa en la plataforma de desarrollo SFF SDR, la cual posee

una interfaz de radio para transmitir inalámbricamente los módulos desarrollados en System

Generator. El análisis del sistema creado se efectúa usando la Co-simulación.

Al final del trabajo Pen sugiere continuar implementando las capas físicas de los estándares

inalámbricos de la IEEE en dispositivos programables como los FPGAs, para su utilización en

SDR; por lo que propone, utilizando la herramienta System Generator, programar completamente

la capa física IEEE 802.16d.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 35

Figura 1.8 Sistema creado por Chien-Lun Pen utilizando el software System Generator.

Fernández Caramés en su tesis doctoral (Fernández, 2011) detalla los elementos necesarios para

constituir un sistema basado en un prototipo rápido, capaz de efectuar comunicaciones vehiculares

y evaluarlas. El investigador crea tres transceptores siguiendo las especificaciones de las capas

físicas de los estándares IEEE 802.11p, IEEE 802.11a e IEEE 802.16e. En el trabajo se detallan

los diferentes componentes de cada transceptor, indicando cómo conectarlos al resto del sistema

para realizar la evaluación de su rendimiento, lo cual se efectuó con la ayuda de tres emuladores

de canal, basados en FPGAs, capaces de recrear comunicaciones multiantena en siete escenarios

vehiculares distintos, incluyendo cañones urbanos, zonas suburbanas y autopistas.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 36

Figura 1.9 Transceptor conectado al emulador del canal vehicular propuesto por Fernández.

Para el desarrollo del marco de evaluación se utilizó el software Simulink de Matlab en el

transceptor y el System Generator de Xilinx para el emulador del canal, el cual se implementó en

una FPGA Virtex-IV (Figura 1.9). Fernández explica que System Generator es especialmente útil

porque permite desarrollar el diseño del emulador del canal mucho más rápido que utilizando

lenguajes de descripción de hardware convencionales como VHDL o Verilog.

Sonmez y Akbal en el artículo (Sonmez and Akbal, 2012) presentan y analizan los resultados que

obtuvieron en la simulación de los esquemas de modulación digital BPSK y BASK. En su estudio,

los autores programaron las modulaciones en lenguaje VHDL usando el software Quartus II 9.2

(Figura 1.10). Los sistemas creados se implementaron en el kit EP3C40F780C6 de Altera.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 37

Figura 1.10 Modulador confeccionado por Sonmez y Akbal en el software Quartus II.

En el trabajo (Sonmez and Akbal, 2012) también se muestra el comportamiento de la razón de bits

errados de ambos esquemas de modulación, resultado de la implementación de los moduladores en

el software Simulink de Matlab. Finalmente, los autores muestran el consumo de recursos en la

FPGA de los módulos confeccionados.

En las investigaciones efectuadas solamente se encontró un artículo realizado por autores cubanos,

en este caso por los ingenieros Nelson García Rodríguez, Addis Rey Domínguez y Luis Giraldo

Raymond Rodríguez, publicado en agosto de 2012 en una revista de la CUJAE, en el cual hablan

del diseño en una FPGA xc5vfx70tffg1136 de un modulador DTMB para una canalización de

6MHz (García et al., 2012). Los autores realizan una propuesta de diseño para los principales

módulos que intervienen en el proceso de modulación de una señal DTMB, lo cual explican

teóricamente, señalando que en el estándar DTMB se definen 5 modos de mapeo: 64QAM,

32QAM, 16QAM y 4QAM, aunque solamente mencionan en su exposición, sobre la propuesta que

realizan, los esquemas de modulación 4QAM y 16QAM.

García, Rey y Raymond dicen que emplearon las herramientas de simulación System Generator,

Xilinx ISE, Simulink de Matlab y ModelSim para el modelado y la verificación funcional del

sistema que proponen, aprovechando las potencialidades que brindan las mismas. En el trabajo no

muestran ningún sistema implementado ni simulado utilizando los softwares System Generator,

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 38

Xilinx ISE y ModelSim. Ellos solamente se limitan a presentar dos bloques confeccionados y

simulados en Simulink, uno de los cuales se muestra en la Figura 1.11.

Figura 1.11 Módulo confeccionado y simulación expuesta en el artículo realizado por

García, Rey y Raymond.

1.4. Conclusiones parciales

La Radio Definida por Software se ha convertido en tema de estudio en los últimos

años, no exceptuándose de esto la modulación digital, lo cual queda expresado en la

cantidad de investigaciones que se realizan sobre el tema.

En la investigación realizada se encontró que en varios trabajos sobre esta temática los

autores se limitan a presentar la implementación de algunos moduladores digitales,

utilizando softwares que permiten su programación en dispositivos lógicos

programables, proponiendo para futuras investigaciones el desarrollo y obtención de un

código HDL para los demoduladores. En otros artículos, se implementan fragmentos de

protocolos de capas físicas inalámbricas, usando herramientas de simulación que

poseen bloques previamente concebidos con ese fin, dejando pendiente lo concerniente

a la implementación de las modulaciones. En los pocos trabajos en que se muestran

modulaciones desarrolladas en código HDL, en la mayoría de las ocasiones, los

investigadores se enfocan en un solo tipo de modulación y no explican cómo realizaron

su implementación en las herramientas de software.

MODULACIÓN DIGITAL EN LA RADIO DEFINIDA POR SOFTWARE 39

Sobre este tema se encontró publicado por autores cubanos un solo trabajo, en el cual

no se muestra la implementación del sistema desarrollado en herramientas de

simulación que permitan la generación de un código HDL, no se exhiben simulaciones

que confirmen los resultados alcanzados en este tipo de software, ni se presenta su

implementación en una FPGA.

Lo anteriormente expuesto en este capítulo confirma la importancia de la realización de un trabajo

donde se explique y desarrolle modulaciones digitales para la Radio Definida por Software,

utilizando herramientas de diseño de FPGAs.

CAPÍTULO 2. ESQUEMAS DE MODULACIÓN DIGITAL Y

HERRAMIENTAS DE DISEÑO PARA FPGAS

DE XILINX

En el presente capítulo se realiza una caracterización de la Modulación por Desplazamiento de

Amplitud, la Modulación por Desplazamiento de Frecuencia, la Modulación por Desplazamiento

de Fase y la Modulación de Amplitud en Cuadratura. Seguido se explican las herramientas de

simulación Xilinx ISE, Matlab y System Generator, exponiéndose sus principales características

para la implementación de sistemas en FPGAs de la compañía Xilinx. Finalmente se muestra la

arquitectura básica de las FPGAs, realizándose un acercamiento a ellas, y se presentan las

características que posee la tarjeta Nexys2.

2.1. Las técnicas básicas de modulación

La modulación digital, según se indica en (Arkesh, 2003) y (Proakis, 2001b), es el proceso

mediante el cual los símbolos digitales son transformados en formas de onda que son compatibles

con las características del canal. Otra definición utilizada es que la modulación es el proceso a

través del cual la señal portadora es modificada según los cambios en la amplitud instantánea de la

señal de información, dando como resultado la señal modulada (Tomasi, 2003), (Mehta et al.,

2011), (Gontean, 2010). En el receptor se recupera la señal original a través de la demodulación.

Hay esencialmente dos métodos comunes de demodulación o detección de señales moduladas con

portadora sinusoidal. Estos son la detección coherente y la detección no coherente. El primero es el

proceso en el cual la señal recibida es sincronizada en frecuencia y en fase con una portadora

generada localmente. La detección no coherente no requiere la señal de referencia, evitándose los

problemas de sincronización de fase y de frecuencia de la detección coherente; sin embargo, la

detección de envolvente no se puede aplicar en sistemas de modulación de fase, porque este

proceso elimina la fase de la señal (Briceño, 2005).

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 41

Seguidamente se realiza una caracterización de las tres formas básicas de la modulación digital en

los sistemas de transmisión de datos, a través de la cual se explican las particularidades que posee

cada una de ellas con el propósito de implementarlas en herramientas de simulación.

2.1.1. Características de la modulación por desplazamiento de amplitud

La Modulación por Desplazamiento de Amplitud (ASK) es una técnica de transferencia de datos

con diferente amplitud en la frecuencia de portadora (Figura 2.1). A pesar de que es sensible a la

variación en el canal, en la propagación, la modulación ASK ha sido utilizada en transceptores

inalámbricos de baja potencia por la simplicidad del sistema (Sonmez and Akbal, 2012).

Figura 2.1 Modulación ASK (Xiong, 2000).

La modulación de encendido-apagado (OOK) es una forma especial de la modulación ASK donde

el modulador pone en la salida la señal de la portadora por cada símbolo “1” y ninguna señal para

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 42

cada símbolo “0” (Gandhiraj et al., 2011), (Gontean, 2010). Esta modulación se muestra en la

Figura 2.2.

Figura 2.2 Modulación OOK (Xiong, 2000).

La señal ASK tiene la forma (Briceño, 2005):

𝑥𝐴𝑆𝐾 = 𝐴 � 𝑏𝑖 ∙ cos(2𝜋𝑓𝑐𝑡 + 𝛷) ∙ �𝑡− 𝑛𝑇𝑏𝑇𝑏

𝑁=−∞

2. 1

1 si se transmite un “1” Donde 𝑏𝑖 = 0 si se transmite un “0”

A y 𝑓𝑐 son la amplitud y frecuencia de la portadora, respectivamente; 𝑇𝑏 es el intervalo de

señalización y 𝛷𝑐 un desfase inicial constante. En general, se verifica que 𝑓𝑐 >> 𝑓𝑏 = 1𝑇𝑏

, donde 𝑓𝑏

es la frecuencia de señalización.

2.1.2. Características de la modulación por desplazamiento de frecuencia

En la Modulación por Desplazamiento de Frecuencia (FSK) la información digital es codificada en

la frecuencia de la portadora sinusoidal. Las principales ventajas de FSK son su simplicidad, bajo

costo de implementación y buen nivel de rendimiento, especialmente en condiciones de

desvanecimiento de señal (H.Hguyeu and E.Shwedyle, 2009).

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 43

En la modulación FSK por cada símbolo “1” una señal de alta frecuencia es transmitida, y por cada

símbolo “0” una señal de baja frecuencia es transmitida, es decir, el esquema FSK usa dos señales

con diferentes frecuencias para representar el “1” y el “0” (Xiong, 2000).

Si las señales son (Xiong, 2000):

𝑠1(t) = 𝐴 cos(2𝜋𝑓1𝑡 + 𝜑1) , kT ≤ t ≤ (k + 1)T, para 1 2.2

𝑠2(t) = 𝐴 cos(2𝜋𝑓2𝑡 + 𝜑2) , kT ≤ t ≤ (k + 1)T, para 0

Donde 𝜑1 y 𝜑2 son la fase inicial a t = 0, y T es el período del bit de los datos binarios. Estas dos

señales son no coherentes puesto que 𝜑1 y 𝜑2 no son generalmente las mismas. En este caso la

forma de onda no es continua en la transición de los bits. Esta forma de FSK se llama FSK no

coherente o FSK discontinua (Xiong, 2000).

El segundo tipo de FSK es la coherente (Figura 2.3), y es donde las dos señales tienes la misma

fase (𝜑). En este caso las frecuencias 𝑓1 y 𝑓2 están sincronizadas (Xiong, 2000).

Figura 2.3 Modulación FSK coherente (Gontean, 2010).

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 44

2.1.3. Características de la modulación por desplazamiento de fase

La Modulación por Desplazamiento de Fase (PSK) se utiliza en sistemas modernos de

comunicación, por ejemplo, en enlaces satelitales, en enlaces de radio por microondas, entre otros.

Codificación M-Aria

M-ario es un término derivado de la palabra binario. M es un dígito que representa la cantidad de

condiciones o combinaciones posibles para determinada cantidad de variables binarias. Los

sistemas FSK y BPSK son M-arios, siendo M = 2 (Tomasi, 2003). La cantidad de condiciones de

salida se determina a través de la ecuación:

𝑁 = 𝑙𝑜𝑔2𝑀 2. 2

Donde N es la cantidad de bits codificados y M es la cantidad de condiciones posibles de salida

con N bits.

Modulación por desplazamiento binario de fase (BPSK)

En la modulación BPSK son posibles dos fases de salida. Cuando la señal de entrada digital

cambia de estado, la fase de la portadora de salida varía entre dos ángulos que están desfasados

180o con respecto al oscilador de la portadora (Tomasi, 2003).

En BPSK la señal transmitida es 𝑠(𝑡) = 𝑚(𝑡)𝑐(𝑡), donde 𝑚(𝑡) es la forma de onda de la señal a

transmitir, 𝑐(𝑡) es la señal portadora y 𝑠(𝑡) es la señal modulada. La frecuencia de la portadora

es 𝑓𝑐 (Das, 2010). Esta modulación se aprecia en las Figuras 2.4 y 2.5

𝑠(𝑡) = 𝐴𝑐 sin(2𝜋𝑓𝑐𝑡) si 𝑚(𝑡) = “1” lógico 2.3

𝑠(𝑡) = −𝐴𝑐 sin(2𝜋𝑓𝑐𝑡) si 𝑚(𝑡) = “0” lógico

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 45

Figura 2.4 Relación de fase de salida en función del tiempo para un modulador BPSK

(Tomasi, 2003).

Figura 2.5 Modulación BPSK: a) tabla de verdad, b) diagrama fasorial, c) diagrama de la

constelación.

Modulación por desplazamiento cuaternario o en cuadratura de fase (QPSK)

La modulación QPSK es una técnica M-aria de codificación en la que M = 4. Con esta

codificación son posibles cuatro fases de salida para una sola frecuencia de portadora. En QPSK

los datos binarios de entrada se combinan en grupos de dos bits, en el que cada uno genera una de

las cuatro fases posibles de salida (00, 01, 10 y 11). En la Figura 2.5 se muestra un diagrama en

bloques de un transmisor QPSK.

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 46

Figura 2.6 Diagrama en bloques de un transmisor QPSK (Tomasi, 2003).

La Figura 2.7 muestra la fase de salida en función del tiempo para un modulador QPSK.

Figura 2.7 Fase de salida en función del tiempo en un modulador QPSK (Tomasi, 2003).

En la Figura 2.8 se observa que en QPSK cada uno de los cuatro fasores de salida posibles tienen

exactamente la misma amplitud. Además, la separación angular entre dos fasores adyacentes es de

90o (Tomasi, 2003).

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 47

Figura 2.8 Modulador QPSK: a) tabla de verdad, b) diagrama fasorial, c) diagrama de

constelación (Tomasi, 2003).

Las señales están definidas como (Xiong, 2000):

𝑠𝑖(𝑡) = 𝐴 cos(2𝜋𝑓𝑐𝑡 + 𝜃𝑖), 0 ≤ 𝑡 ≤ 𝑇, 𝑖 = 1, 2, 3, 4 2. 4

Donde

𝜃𝑖 = (2𝑖 − 1)𝜋

4 2. 5

Las fases iniciales de la señal son 𝜋4, 3𝜋

4, 5𝜋

4 y 7𝜋

4. La frecuencia de la portadora es elegida como

un múltiplo entero de la razón de los símbolos.

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 48

2.1.4. Características de la modulación de amplitud en cuadratura

La Modulación de Amplitud en Cuadratura (QAM) es una modulación digital en la que el mensaje

está contenido tanto en la amplitud como en la fase de la señal transmitida. Ella es empleada en

módems para alcanzar velocidades superiores a los 2400 bps, en sistemas de transmisión de

televisión y de satélite, entre otros.

Las constelaciones M-QAM son de dos dimensiones e involucran a dos funciones ortogonales

básicas, dadas por (Xiong, 2000):

𝜙𝐼(𝑡) = �2𝑇𝑠

cos(2𝜋𝑓𝑐𝑡), 0 ≤ 𝑡 ≤ 𝑇𝑠 2. 6

𝜙𝑄(𝑡) = �2𝑇𝑠

sin(2𝜋𝑓𝑐𝑡), 0 ≤ 𝑡 ≤ 𝑇𝑠 2. 7

Donde los subíndices I y Q se refieren a la portadora en fase y cuadratura, 𝑇𝑠 = 𝜆𝑇𝑏 y λ es un

grupo de bits.

La señal i-ésima transmitida se define como:

𝑠𝑖(𝑡) = 𝐴𝐼,𝑖�2𝑇𝑠

cos(2𝜋𝑓𝑐𝑡) + 𝐴𝑄,𝑖�2𝑇𝑠

sin(2𝜋𝑓𝑐𝑡) 0 ≤ 𝑡 ≤ 𝑇𝑠, 𝑖 = 1,2, … ,𝑀 2. 8

Las formas de onda de la señal QAM también pueden ser expresadas como:

𝑠𝑖(𝑡) = �𝐸𝑖�2𝑇𝑠

cos(2𝜋𝑓𝑐𝑡 − 𝜃𝑖) 2. 9

Donde: 𝐸𝑖 = �𝐴𝐼,𝑖2 + 𝐴𝑄,𝑖2 𝑦 𝜃𝑖 = tan−1 𝐴𝑄,𝑖

𝐴𝐼,𝑖

La expresión anterior expresa la combinación en amplitud y fase de la modulación.

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 49

En dependencia del número posible de símbolos M y del conjunto de amplitudes {𝐴𝐼,𝑖 ,𝐴𝑄,𝑖}, una

gran variedad de constelaciones QAM pueden ser realizadas. La configuración más importante de

los puntos de señal es la rectangular (H.Hguyeu and E.Shwedyle, 2009).

Modulación 16QAM

La modulación 16QAM es un sistema M-ario donde M=16, que actúa sobre los datos de entrada en

grupos de cuatro (24 = 16). El diagrama en bloques para un transmisor 16QAM se muestra en la

Figura 2.9. Los datos de entrada binaria en serie se dividen en cuatro canales: I, I’, Q, Q’. La tasa

de bits de cada canal es igual a un cuarto de la tasa de bits de entrada. Los bits I y Q determinan la

polaridad en la salida de los convertidores de niveles y los bits I’ y Q’ determinan la magnitud. En

consecuencia, los convertidores de niveles generan una señal que tiene Modulación por Amplitud

de Pulsos (PAM). Dos polaridades y dos magnitudes son posibles a la salida de cada convertidor,

las cuales son: ±0.22 V y ±0.821 V, con lo que se obtiene una señal con cuatro niveles de

amplitud. Las señales PAM generadas modulan las portadoras en fase y en cuadratura, en los

moduladores de producto. Luego el sumador lineal combina la salida de los moduladores de

producto de canal I y Q produciendo las 16 condiciones de salida necesarias para el esquema de

modulación presentado (Tomasi, 2003).

Figura 2.9 Diagrama de bloques de un transmisor 16QAM (Tomasi, 2003).

En la Figura 2.10 se presenta el diagrama de constelación y fasorial de un modulador 16QAM.

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 50

Figura 2.10 Diagrama de constelación y fasorial de un modulador 16QAM (Tomasi, 2003).

2.2. Las herramientas de simulación Xilinx ISE, Matlab y System Generator

La integración de las herramientas de diseño electrónico en entornos de desarrollo ha impulsado el

auge de nuevas técnicas de diseño para dispositivos reconfigurables, facilitando la implementación

de sistemas de procesamiento modulares y autónomos. La compañía Xilinx desarrolla softwares de

este tipo, los cuales facilitan el diseño de sistemas de procesamiento digital en una amplia variedad

de FPGAs producidos por esta misma empresa (Xilinx, 2011).

El ISE de Xilinx está formado por un conjunto de herramientas que permite diseñar circuitos

digitales mediante esquemas lógicos o utilizando lenguajes de descripción de hardware como

VHDL o Verilog. También permite simular el comportamiento de los circuitos diseñados y

sintetizarlos sobre dispositivos lógicos programables de Xilinx. Su entorno posee un aspecto

similar al utilizado en los softwares de programación actuales (Visual Basic, Visual C), con

diversas ventanas que permiten visualizar tareas específicas (Xilinx, 2012b).

Matlab es un programa de cálculo numérico y visualización de datos para la resolución de

problemas complejos planteados en la realización y aplicación de modelos matemáticos de

ingeniería. Este software posee versatilidad y capacidad para resolver problemas de matemática

aplicada, física, química, ingeniería, finanzas y otras aplicaciones. Su base la constituye el cálculo

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 51

matricial e integra análisis numérico, procesamiento de señales y visualización gráfica

(MathWorks, 2012).

Matlab dispone de herramientas adicionales que expanden sus prestaciones como Simulink, un

software para modelar, simular y analizar sistemas dinámicos (MathWorks, 2012). Simulink

proporciona una interfaz de usuario gráfica para construir los modelos como diagramas de bloques.

Además, incluye una amplia biblioteca de fuentes y herramientas de visualización que lo hacen

valioso para el diseño de sistemas.

System Generator es una herramienta desarrollada por Xilinx que permite el diseño basado en

modelos en el entorno de Simulink en Matlab, para el desarrollo de sistemas de procesamiento

digital en FPGAs. Se integra a Simulink como una caja de herramientas (toolbox) al instalarse en

la computadora el software Xilinx ISE (Figura 2.11).

Figura 2.11 Instalación del software System Generator (Rodríguez-Gallo, 2012).

Como todos los sets de bloques de Simulink, System Generator se integra como una biblioteca de

bloques que pueden ser conectados para crear modelos funcionales de un sistema. De esta forma,

permite modelar, simular y analizar sistemas de procesamiento complejos y de alto rendimiento

para una plataforma hardware específica.

Los diseños desarrollados con System Generator pueden componerse de una gran variedad de

elementos: bloques específicos de System Generator, código de un lenguaje de descripción de

hardware tradicional como VHDL o Verilog, y funciones derivadas del lenguaje de programación

M de Matlab. Todos estos elementos pueden ser usados simultáneamente, simulados en conjunto y

sintetizados para obtener un sistema de procesamiento de señales sobre una FPGA.

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 52

La versión que se usa del software Matlab en esta investigación es la 2009b y la 12.1 de Xilinx

ISE, al ser compatibles y proporcionar la instalación del software System Generator.

La biblioteca de System Generator en esta versión comprende desde componentes básicos como

sumadores, multiplicadores y registros, hasta módulos sofisticados que llevan a cabo tareas de

procesamiento de más alto nivel como son los bloques de corrección de errores para sistemas de

comunicaciones, filtros, FFT (Transformada Rápida de Fourier) y memorias; lo cual demuestra la

conveniencia de emplear esta herramienta de programación para el desarrollo de las modulaciones

digitales que se presentaron en el epígrafe anterior.

Todos los componentes que posee System Generator están agrupados en librerías (Tabla 2.1),

según la función que realizan.

Tabla 2.1 Librerías de System Generator en Simulink (Xilinx, 2011).

Librerías Descripción Index Todo el set de bloques de Xilinx.

Basic Elements Bloques de elementos estándares para la construcción de lógica digital.

Communication Bloques de corrección de errores de uso

común en los sistemas de comunicaciones digitales.

Control Logic Bloques de circuitos de control y máquinas de estado.

Data Types Bloques para convertir tipos de datos. DSP Bloques de Procesamiento Digital de Señales.

Math Bloques que implementan funciones matemáticas.

Memory Bloques para la implementación y acceso a la memoria.

Shared Memory Bloques para la implementación y acceso a la memoria compartida de Xilinx

Tools Bloques de Herramientas, estimación de recursos, Co-simulación.

2.3. Características principales de las FPGAs

Desde su fundación, en 1984, por los ingenieros en semiconductores Ross Freeman y Bernard

Vonderschmitt, la corporación Xilinx ha sido puntera en el desarrollo de FPGAs. En las líneas de

productos que actualmente comercializa se encuentran las series Virtex y Spartan (Xilinx, 2012b).

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 53

Cada FPGA está compuesta de un número finito de recursos predefinidos con interconexiones

programables para implementar un circuito digital reconfigurable. La arquitectura de una FPGA

(Figura 2.12) consiste en arreglos de bloques lógicos que se comunican entre sí, a través de canales

de conexión generalmente dispuestos en filas y columnas.

Figura 2.12 Diagrama general de una FPGA (Jiménez, 2009).

Los bloques lógicos configurables (CLB) constan básicamente de una parte combinacional que

permite implementar funciones lógicas, y una parte secuencial formada por flip-flops que permite

sincronizar la salida con una señal de reloj externa, lo cual es útil para realizar circuitos

secuenciales y la implementación de registros. En cada CLB hay normalmente dos slices que no

tienen comunicación entre ellas, pero sí tienen señales para acarreos de entrada y salida, las cuales

son usadas para implementar sumadores y multiplicadores. Cada slice (Figura 2.13) se corresponde

con una columna en el CLB y está conectado a una matriz de conmutación para acceder a los

bloques de interconexión de celdas. En el CLB pueden encontrarse dos tipos de slice: el SLICEL y

el SLICEM. La estructura de un bloque lógico varía de un fabricante a otro; sin embargo, la lógica

combinacional se basa principalmente en Tablas de Búsqueda o Look-Up Tables (LUTs).

Figura 2.13 Estructura simplificada de un Slice (Jiménez, 2009).

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 54

Una LUT es un componente de memoria que almacena una tabla de verdad. Las direcciones de la

memoria son las entradas de la función lógica a implementar, y en cada localidad de dicha

memoria se almacena el resultado de la combinación correspondiente de las entradas. En una LUT

de n x 1 es posible implementar cualquier función lógica de n entradas (Xilinx, 2012b).

Los bloques de entrada/salida (IOB) son otro de los componentes particulares que tienen los

FPGAs, y su función es controlar el flujo de datos entre los pines de entrada/salida y la lógica

interna del dispositivo.

2.3.1. El Kit de desarrollo Nexys2

En la Facultad de Ingeniería Eléctrica de la Universidad Central “Marta Abreu” de Las Villas,

Cuba, se posee la tarjeta Nexys2, siendo la utilizada en este trabajo para la implementación de las

modulaciones digitales anteriormente presentadas.

La tarjeta Nexys2 es una plataforma de desarrollo basada en la FPGA Spartan3E de Xilinx. Tiene

un puerto USB 2.0 de alta velocidad, 16 Mbytes de memoria RAM (Random Access Memory) y

ROM (Read Only Memory), varios dispositivos de entrada/salida y puertos para el desarrollo de

sistemas digitales. El puerto USB 2.0 provee de energía a la tarjeta y a una interfaz para la

programación. Los diseños desarrollados en ella pueden crecer más allá de la misma utilizando los

cinco conectores de expansión que posee. Todas las señales accesibles por el usuario en la tarjeta

son protegidas contra cortocircuitos. Además, es compatible con todas las versiones de las

herramientas de Xilinx ISE.

Una de las limitaciones que presenta la tarjeta Nexys2 es que posee 500 mil compuestas lógicas, lo

que significa que tiene pocos recursos lógicos. Adicionalmente, la frecuencia de su reloj es de 50

MHz; y en la Facultad no se cuenta con conversores Analógico/Digital ni Digital/Analógico, que

puedan ser conectados a sus dispositivos de expansión, lo cual reduce más sus prestaciones.

En la Figura 2.14 se muestra el diagrama en bloques de esta tarjeta. En el Anexo I se presenta la

distribución de los pines utilizados en este trabajo. Una descripción más detallada del Kit de

desarrollo Nexys2 se puede encontrar en (Digilent, 2008).

ESQUEMAS DE MODULACIÓN DIGITAL Y HERRAMIENTAS DE DISEÑO PARA FPGAS DE XILINX 55

Figura 2.14 Diagrama en bloques del kit Nexys2 (Digilent, 2008).

2.4. Conclusiones parciales

La caracterización realizada de los esquemas de modulación digital proporcionó las

principales particularidades que posee cada uno, las cuales unidas a la descripción general

efectuada de las herramientas de simulación Matlab, Xilinx ISE y System Generator,

revelan la conveniencia del uso de estos software para la implementación de estas

modulaciones.

La tarjeta Nexys2, a pesar de las limitaciones que tiene su utilización, sirve para evaluar la

funcionalidad de las modulaciones a implementar, siempre que se tomen en consideración

en el momento de desarrollar los sistemas en las herramientas de simulación.

CAPÍTULO 3. IMPLEMENTACIÓN DE LAS MODULACIONES

DIGITALES Y RESULTADOS OBTENIDOS

En el capítulo anterior se expusieron las características principales de las modulaciones digitales

utilizadas en esta investigación, de las herramientas de software y del hardware. En el presente

capítulo se muestra un flujo de diseño elaborado para programar las modulaciones desarrolladas en

el Kit de desarrollo Nexys2. Seguidamente se explica la implementación de las mismas utilizando

el software System Generator. Posteriormente se evalúa su funcionamiento a través de

simulaciones en Simulink, utilizando para ello las prestaciones que brinda System Generator con

este propósito. Finalmente se comprueba su funcionamiento en la FPGA mediante un osciloscopio.

3.1. Flujo de diseño elaborado para la implementación de las modulaciones

Los flujos de diseño son elaborados con el propósito de mostrar las diferentes etapas del diseño de

un sistema (Brox et al., 2010). Ellos son frecuentemente utilizados por los investigadores,

pudiéndose encontrar en trabajos tales como: (Tariq, 2011), (Sreedaranath, 2010), (Chiraz et al.,

2011), (Meyer-Baese et al., 2011) y (Viejo et al., 2012).

Figura 3.1 Flujo de diseño confeccionado para la implementación de los sistemas.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 57

Para lograr el desarrollo e implementación de las modulaciones digitales en las herramientas de

simulación System Generator, Matlab, Xilinx ISE, y en el Kit de desarrollo Nexys2, se creó el

flujo de diseño que aparece en la Figura 3.1.

Todo sistema que se va a realizar utilizando System Generator tiene que poseer un bloque que se

nombra igual que esta herramienta de simulación (Figura 3.2), el cual se encuentra en la librería

“Basic Elements”. El mismo es el encargado de proporcionar el control del sistema, los parámetros

de las simulaciones e invocar el generador de código. También en él se especifican características

del proyecto tales como: la familia del dispositivo en el que se va a implementar el diseño, la

frecuencia de operación del circuito, el lenguaje de descripción de hardware y el tipo de

compilación a utilizar.

Figura 3.2 Bloque System Generator configurado.

Para verificar el funcionamiento de las modulaciones creadas en System Generator se pueden usar

dos alternativas. La primera es a través del bloque “Scope” de Simulink, el cual brinda la

posibilidad de visualizar los resultados obtenidos en las simulaciones, y la otra es utilizando el

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 58

bloque “WaveScope”, propuesto por System Generator con el mismo propósito. Los resultados

obtenidos en esta investigación se presentan usando esta última variante.

Los bloques de entrada y salida de System Generator brindan la posibilidad de especificar los

pines a utilizar de la FPGA (Figura 3.3), para lo cual se hace necesario conocer las

especificaciones de la tarjeta, con el fin de evitar cometer errores que provocarían un resultado

desfavorable en ella.

Figura 3.3 Bloque de salida en System Generator.

Después de confeccionar la aplicación y simularla para comprobar su funcionamiento se pasa a la

etapa de generación del código HDL, cuyo resultado es un proyecto en Xilinx ISE con el código de

la aplicación desarrollada (Figura 3.4). En este trabajo las aplicaciones se sintetizaron en lenguaje

VHDL.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 59

Figura 3.4 Proyecto obtenido de la síntesis de un sistema en System Generator.

El programa Xilinx ISE permite sintetizar la aplicación, obteniéndose una tabla resumen de los

recursos utilizados por ella en la FPGA. Además, brinda la posibilidad de conocer la demora del

sistema y la potencia que consume. Normalmente no ocurren errores en este proceso y si llegaran a

producirse, el más común tiene que ver con el agotamiento de los recursos que posee la FPGA que

se está utilizando, lo cual se puede solucionar cambiándola por otra que tenga un mayor número de

recursos o reprogramando nuevamente el sistema en System Generator.

Seguidamente se pasa al proceso de implementación en la FPGA, para lo cual existen dos

herramientas, las cuales utilizan un fichero de programación (.bit) con este fin, que es generado en

el software Xilinx ISE. IMPACT es una de esas herramientas. En este trabajo no fue posible usarla

debido a la carencia del cable JTAG. La otra es Digilent Adept, que provee el fabricante de la

tarjeta. Este software brinda al usuario una interfaz (Figura 3.5) para la programación del kit

Nexys2 mediante el puerto USB.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 60

Figura 3.5 Interfaz de programación del software Digilent Adept.

Al estar la FPGA programada se pasa al proceso de verificación del sistema, que en este trabajo se

realizó utilizando un osciloscopio digital Rigol DS1022C de dos canales. Si el resultado no es el

esperado se puede regresar al software Xilinx ISE para verificar que los pines que se están usando

son los correctos, o directamente al System Generator.

3.2. Confección de las modulaciones digitales utilizando las herramientas de simulación

Las modulaciones digitales que se implementaron en este trabajo son las presentadas en el

Capítulo 2. Debido a que el Kit de desarrollo Nexys2 no posee conversores Analógico/Digital ni

Digital/Analógico, la señal de la fuente de datos y de la portadora se generó internamente, lo que

provocó un uso adicional de los recursos de la tarjeta. Esto se hizo con el propósito de poder

verificar el funcionamiento de los sistemas realizados, ya que a través de los conectores Pmod del

kit de desarrollo se pueden obtener señales digitales, las cuales pueden ser visualizadas en un

osciloscopio.

La computadora utilizada en esta investigación posee 2 GB de memoria RAM, dos

microprocesadores de 2.16 GHz cada uno y tiene instalado el sistema operativo Windows 7, por lo

que se encuentra en el límite de los recursos necesarios para la utilización de la herramienta de

simulación System Generator, ya que sus creadores proponen como mínimo una memoria RAM de

2 GB para su uso en el sistema operativo Windows. A pesar de esta limitación se eligió debido a

las ventajas que brinda.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 61

La señal de los datos en los sistemas se generó a una frecuencia de 50 KHz y la portadora al doble.

Los filtros confeccionados poseen un orden de 50. En los demoduladores, el proceso de

recuperación de la portadora no se confeccionó.

A continuación se presenta la programación de estos sistemas en la herramienta de simulación

System Generator.

3.2.1. Modulación ASK

La modulación ASK implementada utilizando System Generator se muestra en la Figura 3.6. El

subsistema de la fuente de datos está constituido por el bloque LFSR, el cual da indefinidamente la

misma entrada binaria que se le introduce. Este bloque se encuentra en la librería “Basic

elements”. Para la obtención de la portadora se usó el bloque DDS Compiler 4.0, el cual permite

generar a una frecuencia determinada, una señal seno, una coseno o ambas al mismo tiempo. Este

bloque se localiza en la librería DSP y en su configuración hay que especificar la frecuencia de

operación de la FPGA. Un error en la introducción de este dato o su no ingreso provocará errores

en la implementación en la FPGA. Para la creación del modulador se utilizó un bloque multiplexor

y un multiplicador, los cuales se encuentran en las librerías “Basic elements” y en la “Math”

respectivamente.

Figura 3.6 Modulación ASK implementada con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 62

El demodulador está compuesto por el detector de producto, el cual fue elaborado utilizando el

bloque Mult que permite la multiplicación de señales y se halla en la librería “Math”. No obstante,

antes de introducir la señal modulada en él, fue necesario convertirla para evitar errores en el

momento de dicha multiplicación. Para lograr esto se empleó el bloque Convert que está en la

librería “Basic elements”. A continuación aparece el subsistema filtro. System Generator posee un

bloque que se llama FIR Compiler 5.0, el cual permite implementar filtros FIR y se localiza en la

librería DSP. A este bloque se le pasan los coeficientes obtenidos en otro llamado FDATool, el

cual facilita el diseño de los filtros digitales en esta herramienta de programación. La última etapa

del demodulador es la sección de decisión, la cual se creó usando el bloque Mcode que se

encuentra en la librería “Control logic”.

3.2.2. Modulación OOK

En la Figura 3.7 se muestra la modulación OOK implementada en System Generator. Como se

explicó en el capítulo anterior, la diferencia entre esta modulación y ASK consiste en que el

modulador pone en la salida la señal de la portadora por cada símbolo “1” y ninguna señal para

cada símbolo “0”. Para lograr esto se usó en el modulador un multiplexor y una constante, la cual

se programó para que diera en la salida el valor “0”. Los demás módulos se mantuvieron iguales,

excepto el de la sección de decisión que fue programado para las condiciones de esta modulación.

Figura 3.7 Modulación ASK implementada con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 63

3.2.3. Modulación FSK

La modulación FSK implementada en System Generator aparece en la Figura 3.8. En el modulador

la fuente de datos se programó igual que en los sistemas anteriores, es decir, a través del bloque

LSFR. Las dos frecuencias de transmisión se generaron utilizando el bloque DDS Compiler 4.0, y

además se usó un multiplexor.

El demodulador está conformado de modo similar a los presentados anteriormente. El cambio se

produce en la sección de decisión en la cual la programación del bloque Mcode es diferente, ya

que se implementó adaptándose a las características del sistema programado.

Figura 3.8 Modulación FSK implementada con System Generator.

3.2.4. Modulación BPSK

La modulación BPSK se programó de dos formas distintas (Figuras 3.9 y 3.10). La diferencia

fundamental se encuentra en el modulador. En ambos sistemas se usó para generar los datos el

bloque LSFR, y para la portadora el DDS Compiler 4.0.

En la variante I el modulador se implementó usando el bloque Mcode, el cual se programó para

que funcionara como un conmutador. En la variante II se utilizó un multiplexor. Los

demoduladores se confeccionaron de una forma similar a los explicados anteriormente.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 64

Figura 3.9 Variante I de la modulación BPSK implementada con System Generator.

Figura 3.10 Variante II de la modulación BPSK implementada con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 65

3.2.5. Modulación QPSK

En la Figura 3.11 se muestra la modulación QPSK confeccionada. La fuente de datos y la

portadora se implementaron a través de los bloques LSFR y DDS Compiler 4.0 respectivamente.

Para realizar la conversión serie-paralelo se usó un demultiplexor por división de tiempo que se

encuentra en la librería “Basic elements”, el cual se configuró para obtener en la salida los canales

I y Q. Seguidamente se pasó al proceso de convertir las señales de uno a dos niveles, efectuado a

través de bloques Mcode. Los moduladores balanceados están constituidos por un multiplicador de

señales. Finalmente se realiza la suma de las señales de los canales para ser transmitidas, a través

de un bloque AddSub, que se encuentra en la librería “Math”.

El receptor QPSK inicialmente tiene los detectores de producto I y Q. Estos se hicieron con

bloques Mult. A continuación se implementó un filtro paso bajo con orden 50 utilizando las

prestaciones que brindan los bloques FIR Compiler 5.0 y FDATool. Seguidamente aparecen los

convertidores de 2 a 1, confeccionados con bloques Mcode y que permiten llevar las señales de los

canales a los niveles de 0V y 1V. Finalmente se utilizó un multiplexor por división de tiempo que

permite convertir los canales I y Q en un flujo de bits igual al generado en la fuente de datos.

3.2.6. Modulación 16QAM

La modulación 16QAM desarrollada aparece en la Figura 3.12. La fuente de datos y la portadora

se configuraron igual que en la modulación QPSK. El subsistema que permite la conversión serie-

paralelo está constituido por un bloque Time Division Demultiplexer, el cual está programado para

obtener en la salida los canales I, I’, Q y Q’. Los subsistemas convertidores de 2 a 4 niveles están

constituidos por bloques Mcode, los cuales dan en la salida dos polaridades y dos magnitudes, que

son: ± 0.22V y ± 0.821V. Los subsistemas: sumador lineal, modulador balanceado I y modulador

balanceado Q se confeccionaron igual que en QPSK.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 66

Figura 3.11 Modulación QPSK implementada con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 67

Figura 3.12 Modulación 16QAM implementada con System Generator

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 68

En el receptor 16QAM los subsistemas: detector de producto I, detector de producto Q y filtro se

hicieron igual que en la modulación QPSK. Los subsistemas convertidores de 4 a 2 niveles se

confeccionaron con bloques Mcode, los cuales hacen el proceso contrario al que se realiza en el

transmisor. Finalmente usando un multiplexor por división de tiempo se convierten los cuatro

canales en un flujo de bits.

3.3. Evaluación e implementación en el Kit Nexys2 de las aplicaciones confeccionadas

A continuación se brindan los resultados fundamentales de las simulaciones y de la

implementación de las aplicaciones en el kit Nexys2.

3.3.1. Modulación ASK

Para comprobar el funcionamiento de la modulación ASK se utilizó el bloque WaveScope,

disponible en la librería “Tools” de System Generator. Este bloque permite visualizar varias

señales de forma simultánea junto con la señal del reloj global del sistema. En la Figura 3.13 se

muestra el resultado obtenido al simular esta programación; observándose que la señal recibida es

igual a la generada inicialmente, y que la señal se moduló correctamente.

Figura 3.13 Modulación ASK implementada con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 69

Después de comprobada la funcionalidad de la modulación ASK mediante la simulación, se generó

su proyecto en Xilinx ISE. Seguidamente se corrió el código VHDL de la aplicación desarrollada,

obteniéndose la Tabla 3.1 con los recursos consumidos en la FPGA.

Tabla 3.1 Sumario de la utilización de los recursos en la FPGA de la modulación ASK.

Device Utilization Summary Logic Utilization Used Available Utilization Number of Slice Flip Flops 1,055 9,312 11 % Number of 4 input LUTs 771 9,312 8 % Number of occupied Slices 617 4,656 13 % Total Number of 4 input LUTs 885 9,312 9 % Number of bonded IOBs 25 232 10 % Number of RAMB16s 1 20 5 % Number of BUFGMUXs 1 24 4 % Number of MULT18X18SIOs 8 20 40 %

En los resultados obtenidos en la Tabla 3.1 se observa que la utilización lógica de la mayoría de

los recursos en el Kit Nexys2 está alrededor del 10 %, sobresaliendo el número de los

multiplicadores con un 40 %. El consumo de potencia es de 99.30 mW y la demora de los datos en

el camino es de 15.768 ns.

En la Figura 3.14 se muestra internamente la Spartan 3E del kit de desarrollo Nexys2, con el ruteo

automático efectuado por el compilador Xilinx ISE para la implementación de este sistema. El

ruteo también puede realizarse de forma manual, aunque no es aconsejable debido a la

complejidad interna que poseen las FPGA, y que muchos de los datos que utilizan los

compiladores no están al alcance de los investigadores, al ser considerados secretos de las

compañías productoras de estos dispositivos (Sisterna, 2012a). En el Anexo II se muestra un área

del enrutamiento, en la cual se desconoce lo que existe.

Al realizar un acercamiento al sistema ruteado se pueden observar los slice que están siendo

usados en la implementación de la modulación. En la Figura 3.15 se muestran algunos de ellos.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 70

Figura 3.14 Ruteo efectuado por el compilador Xilinx ISE a la modulación ASK.

Figura 3.15 Muestra de Slices utilizados en la implementación de la modulación ASK.

El esquemático generado por Xilinx ISE de la modulación ASK se observa en la Figura 3.16. Este

está constituido por LUTs, biestables, XOR, entre otros componentes lógicos. En programaciones

complejas, como la presente, no es recomendable su utilización, ya que se hace difícil determinar

el funcionamiento del circuito programado, objetivo principal para lo cual se utiliza (Sisterna,

2012b); por lo que se omitirán los esquemáticos de las otras modulaciones en este trabajo.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 71

Figura 3.16 Esquemático de la modulación ASK.

En las Figuras 3.17 y 3.18 se puede apreciar la implementación de la modulación ASK en el Kit

Nexys2 y el resultado obtenido en el osciloscopio. Anteriormente se explicó que la señal de los

datos se generó internamente. Esta se obtuvo de la FPGA a través del pin JA1: L15 de los

conectores Pmod JA que posee la tarjeta (Anexo). La salida del demodulador se extrajo por el pin

JA1: K12 de la misma. En la Figura 3.18 se aprecia que la señal obtenida es igual a la señal

generada internamente.

Figura 3.17 Modulación ASK implementada en la Tarjeta Nexys2.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 72

Figura 3.18 Resultado alcanzado de la implementación de la modulación ASK en la tarjeta

Nexys2.

3.3.2. Modulación OOK

En la Figura 3.15 se muestra el resultado obtenido de la programación en el software System

Generator de la modulación OOK, el cual se encuentra en correspondencia con lo expuesto en el

Capítulo 2, viéndose que la señal modulada en los momentos en que se está transmitiendo un cero

lógico, también se hace cero.

Figura 3.19 Modulación OOK implementada con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 73

Después de verificar su funcionamiento en System Generator, al realizar varias iteraciones del

sistema creado, alcanzándose resultados favorables, se pasó a generar su código VHDL, y por

consiguiente el proyecto en Xilinx ISE, a través del cual se obtuvo la Tabla 3.2, que presenta la

utilización de los recursos del sistema desarrollado en la FPGA. En este caso, los recursos lógicos

consumidos se encuentran alrededor del 5 % en las distintas variables, no así en los

multiplicadores donde son de un 20 %. El consumo de energía es de 89.39 mW y la demora de los

datos de 14.275 ns.

Al comparar el consumo de recursos entre las modulaciones ASK y OOK se aprecia que en la

OOK se usan menos que en ASK. Se infiere que este cambio, especialmente significativo en el

uso de los multiplicadores, se debe a que en OOK no se implementó un bloque multiplicador en

System Generator, el cual sí fue concebido en ASK. También se percibe que la potencia

consumida y la demora son superiores en ASK.

Tabla 3.2 Sumario de la utilización de los recursos en la FPGA de la modulación OOK.

Device Utilization Summary Logic Utilization Used Available Utilization Number of Slice Flip Flops 564 9,312 6 % Number of 4 input LUTs 410 9,312 4 % Number of occupied Slices 346 4,656 7 % Total Number of 4 input LUTs 472 9,312 5 % Number of bonded IOBs 10 232 4 % Number of RAMB16s 1 20 5 % Number of BUFGMUXs 1 24 4 % Number of MULT18X18SIOs 4 20 20 %

El resultado alcanzado en su implementación en la FPGA es igual al que se presentó en la Figura

3.18. El ruteo realizado en este caso, por el compilador Xilinx ISE, aparece en el Anexo III.

3.3.3. Modulación FSK

El resultado alcanzado en la simulación de la modulación FSK programada se muestra en la Figura

3.20. En él se observa que por cada símbolo “1” una señal de alta frecuencia es transmitida y que

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 74

por cada símbolo “0” una señal de baja frecuencia es transmitida, por lo que se corresponde con la

teoría expuesta en el Capítulo anterior.

Figura 3.20 Modulación FSK implementada con System Generator.

Tabla 3.3 Sumario de la utilización de los recursos en la FPGA de la modulación FSK.

Device Utilization Summary Logic Utilization Used Available Utilization Number of Slice Flip Flops 571 9,312 6 % Number of 4 input LUTs 407 9,312 4 % Number of occupied Slices 351 4,656 7 % Total Number of 4 input LUTs 482 9,312 5 % Number of bonded IOBs 10 232 4 % Number of RAMB16s 2 20 10 % Number of BUFGMUXs 1 24 4 % Number of MULT18X18SIOs 4 20 20 %

En la Tabla 3.3 se exhibe la utilización lógica en la FPGA de la modulación creada, alcanzada

después de generar su proyecto en Xilinx ISE y haberlo sintetizado. En este caso se aprecia que no

son muchos los recursos usados. La demora de los datos es de 13.932 ns y la potencia consumida

de 89.44 mW.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 75

Al implementar en el Kit de desarrollo esta modulación, el resultado alcanzado en el osciloscopio,

es igual al mostrado en la Figura 3.18. En el Anexo IV aparece el ruteo automático que hizo de

esta modulación el compilador Xilinx ISE.

3.3.4. Modulación BPSK

En el epígrafe 3.2 se explicó que se programaron dos variantes de modulaciones BPSK. El

resultado obtenido en su simulación fue el mismo en ambas, y este se muestra en la Figura 3.21.

Cada vez que ocurre una transición en los datos que van a ser transmitidos, de un cero lógico a un

uno, o viceversa, cambia la fase en la señal de salida.

Variante I

El modulador balanceado en esta variante se programó utilizando el bloque Mcode. Después de

realizarse las pruebas para validar los resultados en el software System Generator, se generó el

código VHDL de la aplicación y su proyecto en Xilinx ISE, el cual fue posteriormente sintetizado.

En la Tabla 3.4 se muestra el sumario de la utilización lógica en la FPGA. La demora de los datos

es de 13.362 ns y la potencia consumida de 88.40 mW.

Figura 3.21 Modulación BPSK implementada con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 76

Tabla 3.4 Sumario de la utilización de los recursos en la FPGA de la Variante I de la

modulación BPSK.

Device Utilization Summary Logic Utilization Used Available Utilization Number of Slice Flip Flops 583 9,312 6 % Number of 4 input LUTs 407 9,312 4 % Number of occupied Slices 315 4,656 6 % Total Number of 4 input LUTs 482 9,312 5 % Number of bonded IOBs 9 232 3 % Number of RAMB16s 2 20 10 % Number of BUFGMUXs 1 24 4 % Number of MULT18X18SIOs 4 20 20 %

Variante II

En este caso el modulador balanceado fue confeccionado a través de un multiplexor. El sumario

del uso de los recursos en la tarjeta se muestra en la Tabla 3.5. La demora de los datos y la

potencia consumida es de 14.689 ns y 88.82 mW respectivamente.

Tabla 3.5 Sumario de la utilización de los recursos en la FPGA de la Variante II de la

modulación BPSK.

Device Utilization Summary Logic Utilization Used Available Utilization Number of Slice Flip Flops 583 9,312 6 % Number of 4 input LUTs 406 9,312 4 % Number of occupied Slices 338 4,656 7 % Total Number of 4 input LUTs 481 9,312 5 % Number of bonded IOBs 9 232 3 % Number of RAMB16s 2 20 10 % Number of BUFGMUXs 1 24 4 % Number of MULT18X18SIOs 4 20 20 %

Al comparar ambas programaciones en cuanto a su consumo de recursos y de potencia, se aprecia

que son prácticamente iguales, no así la demora que es superior en la variante II.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 77

Los resultados alcanzados de la implementación de ambas programaciones en la FPGA son los

mismos que se presentaron en la Figura 3.18, y en los Anexos V y VI se exhibe el ruteo obtenido

en ambos casos.

3.3.5. Modulación QPSK

En la Figura 3.22 se muestra la señal de los datos generada, la obtenida en la salida del

demodulador, distinguiéndose que ambas son iguales, y la modulada; resultados alcanzados en la

simulación de la modulación QPSK confeccionada.

Figura 3.22 Modulación QPSK implementada con System Generator.

En las Figuras 3.23 y 3.24 se muestran las señales obtenidas en la salida de algunos subsistemas

que conforman el modulador y el demodulador respectivamente. En la primera se observa la

cadena de bits de datos convertida de serie a paralelo y seguidamente, las señales en la salida de

los moduladores balanceados I y Q, resultado de la multiplicación efectuada entre el oscilador de

la portadora de referencia desplazada noventa grados y sin desplazar, con los bits en paralelo.

Finalmente estas dos señales son sumadas, dando como resultado la señal modulada con cuatro

fases distintas.

En la Figura 3.24 la primera señal que aparece es la modulada. A continuación se encuentran las

que son el resultado del producto de la señal modulada con la de la portadora desplazada noventa

grados y sin desplazar. Seguidamente, estas señales se presentan filtradas, apreciándose cuatro

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 78

niveles distintos en su amplitud, que son usados para obtener las señales binarias I y Q, las que

finalmente se convierten en un flujo de bits que es igual al de los datos transmitidos.

Después de haber realizado varias corridas para comprobar el correcto funcionamiento de la

modulación QPSK en System Generator, se generó su código de descripción de hardware y su

proyecto en Xilinx ISE. Antes de su implementación en la FPGA se sintetizó el proyecto,

obteniéndose la Tabla 3.6 sobre la utilización de los recursos en la FPGA, el consumo de potencia

y la demora de los datos, siendo estos últimos de 99.39 mW y 14.001 ns respectivamente.

Figura 3.23 Señales en el modulador QPSK implementado con System Generator.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 79

Figura 3.24 Señales en el demodulador QPSK implementado con System Generator.

Tabla 3.6 Sumario de la utilización de los recursos en la FPGA de la modulación QPSK.

Device Utilization Summary Logic Utilization Used Available Utilization Number of Slice Flip Flops 1,348 9,312 14 % Number of 4 input LUTs 887 9,312 9 % Number of occupied Slices 875 4,656 18 % Total Number of 4 input LUTs 1,005 9,312 10 % Number of bonded IOBs 121 232 52 % Number of RAMB16s 1 20 5 % Number of BUFGMUXs 1 24 4 % Number of MULT18X18SIOs 10 20 50 %

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 80

El resultado alcanzado al implementar esta modulación en el Kit Nexys2 es igual al que se muestra

en la Figura 3.18, y en el Anexo VII se exhibe el ruteo obtenido a través del software Xilinx ISE.

3.3.6. Modulación 16QAM

En las Figuras 3.25, 3.26 y 3.27 se presentan los resultados obtenidos de la implementación de la

modulación 16QAM utilizando la herramienta de simulación System Generator. En ellas se

muestran las señales en diferentes puntos del sistema. En la 3.25 aparece la señal modulada y los

datos transmitidos y recibidos, que son idénticos, confirmándose que funciona correctamente el

sistema desarrollado.

Figura 3.25 Modulación 16QAM implementada con System Generator.

En la Figura 3.26 se exhiben las señales correspondientes al modulador. Primeramente se muestran

dos de las cuatro señales que hay en la salida del convertidor serie, y a continuación las que están

después de los convertidores del canal I y Q, las cuales poseen dos polaridades y dos magnitudes:

±0.22 V y ±0.821 V. Para finalizar se presenta la señal que se encuentra en la salida del modulador

balanceado I y del sumador lineal.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 81

Figura 3.26 Señales en el modulador 16QAM implementado con System Generator.

Algunas de las señales del proceso de demodulación aparecen en la Figura 3.27, entre ellas se

encuentran las que están en la salida de los bloques detectores de producto I y Q, de los filtros del

canal I y Q, de los convertidores de cuatro a dos correspondientes a cada canal, y por último se

muestran los datos recibidos.

Al sintetizar el proyecto generado en Xilinx ISE se obtuvo la Tabla 3.7 en la cual se presenta el

consumo de los recursos en la FPGA. La demora de los datos es de 14.531 ns y la potencia

consumida de 196.78 mW.

Se observó un resultado igual al que aparece reflejado en la Figura 3.18, al implementarse en la

FPGA el sistema desarrollado. En el Anexo VIII aparece el ruteo obtenido de esta modulación, al

ser sintetizada en el software Xilinx ISE.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 82

Figura 3.27 Señales en el demodulador 16QAM implementado con System Generator.

Tabla 3.7 Sumario de la utilización de los recursos en la FPGA de la modulación 16QAM.

Device Utilization Summary Logic Utilization Used Available Utilization Number of Slice Flip Flops 7,225 9,312 77 % Number of 4 input LUTs 6,089 9,312 65 % Number of occupied Slices 4,085 4,656 87 % Total Number of 4 input LUTs 6,535 9,312 70 % Number of bonded IOBs 155 232 66 % Number of RAMB16s 1 20 5 % Number of BUFGMUXs 1 24 4 % Number of MULT18X18SIOs 4 20 20 %

Al compararse las modulaciones QPSK y 16QAM en cuanto al uso de los recursos en la FPGA, la

demora en el sistema y el consumo de potencia, se comprueba que en 16QAM todas estas

variables son superiores, resultado esperado debido a que su complejidad es superior.

IMPLEMENTACIÓN DE LAS MODULACIONES DIGITALES Y RESULTADOS OBTENIDOS 83

3.4. Conclusiones parciales

El flujo de diseño confeccionado permitió conocer la interrelación que existe entre las

herramientas utilizadas en este trabajo investigativo; asimismo los pasos que se deben

seguir para implementar satisfactoriamente las modulaciones desarrolladas en el Kit

Nexys2.

En el transcurso de la confección de las aplicaciones en System Generator se observó que

al irse incrementando su complejidad, el tiempo de simulación aumenta considerablemente,

ocasionando retardos en el proceso de programación.

La más compleja de todas las modulaciones digitales desarrolladas es la 16QAM, lo que

trae como consecuencia que tenga su implementación, el mayor consumo de recursos

lógicos, gasto de potencia y demora de los datos.

CONCLUSIONES

La presente tesis es el resultado de un trabajo de investigación que abarcó alrededor de un año. A

partir de los fundamentos y los resultados que se muestran en este informe, se pueden establecer

como conclusiones las siguientes:

La Radio Definida por Software actualmente está siendo estudiada en el mundo por sus

ventajas y aplicación en los sistemas de comunicación, lo que se evidencia en el número de

investigaciones que se están realizando sobre esta temática; mientras que en Cuba se están

dando los primeros pasos, siendo escasos los trabajos desarrollados sobre el tema.

La caracterización realizada de las modulaciones digitales ASK, OOK, FSK, BPSK, QPSK

y 16QAM, facilitó distinguir las particularidades que presenta cada una para su

implementación en herramientas de programación.

La descripción realizada de las herramientas de simulación Matlab, Xilinx ISE y System

Generator, reveló lo viable que es su utilización para la implementación de sistemas de la

Radio Definida por Software; y las posibilidades que ofrecen para la programación de las

modulaciones en el Kit de desarrollo Nexys2.

Las limitaciones que posee el kit Nexys2 se expusieron, teniéndolas presentes en el

desarrollo de las modulaciones digitales en las herramientas de programación, para poder

evaluar su funcionamiento en la FPGA.

El flujo general de diseño elaborado constituyó una herramienta útil para la

implementación de las modulaciones creadas en las herramientas de simulación y en el Kit

de desarrollo Nexys2 de Digilent.

Los resultados obtenidos en la simulación coincidieron con los alcanzados en el

osciloscopio digital Rigol, demostrándose la efectividad de la herramienta de simulación

System Generator para la implementación de estos sistemas.

RECOMENDACIONES

Las modulaciones digitales que se elaboraron en este trabajo en el ámbito de la Radio Definida

por Software, así como las investigaciones que conllevaron a su surgimiento y desarrollo, sirven de

fundamento a las siguientes recomendaciones:

Confeccionar un módulo que permita la recuperación de la portadora en las

modulaciones digitales desarrolladas.

Valorar la posible utilización en el futuro de las modulaciones y del flujo de diseño

confeccionado en la docencia de la carrera de Ingeniería en Telecomunicaciones y

Electrónica.

GLOSARIO DE TÉRMINOS

ADC Analog to Digital Converter Convertidor Analógico-Digital

AMC Automatic Modulation Classification Clasificación Automática de la Modulación

AR Adaptive Radio Radio Adaptativa

ASICs Application-Specific Integrated Circuits Circuito Integrado para Aplicaciones

Específicas

ASK Amplitude Shift Keying Modulación por Desplazamiento de

Amplitud

AWGN Additive White Gaussian Noise Canal de Ruido Blanco Gaussiano

BPSK Binary Phase Shift Keying Modulación binaria de fase

CLB Configurable Logic Block Bloques Lógicos Configurable

CR Cognitive radio Radio Cognitiva

DAC Digital to Analog Converter Convertidor Digital-Analógico

DDC Digital Down Converter Convertidores para Bajar Digitalmente la

Señal

DSP Digital Signal Processors Procesamiento Digital de Señales

DUC Digital Up Converter Convertidores para Subir Digitalmente la

Señal

FEC Forward Error Correction Corrección de Errores hacia Adelante

FFT Fast Fourier Transform Transformada Rápida de Fourier

FII Foro de Innovación Inalámbrica

FPGA Field-Programmable Gate Arrays Arreglo de Puertas Programables por

Campo

FSK Frequency Shift Keying Modulación por Desplazamiento de

GLOSARIO DE TÉRMINOS 87

Frecuencia

HDL Hardware Description Language Lenguaje de Descripción de Hardware

HR Hardware Radio Radio de hardware

IEEE Institute of Electrical and Electronics

Engineers

Instituto de Ingenieros Electricistas y

Electrónicos

IF Intermediate Frequency Frecuencia Intermedia

IOB Input/Output Block Bloques de Entrada/Salida

IP Internet Protocol Protocolo de Internet

ISE Integrated Software Environment Ambiente de Software Integrado

JTRS Joint Tactical Radio System Sistema de Radio Táctica Conjunta

LUT Look-Up Tables Tablas de Búsqueda

MPSoC Multiprocessor System on Chip Sistemas Multiprocesador en Chip

OOK On-Off keying Modulación de Encendido-Apagado

PAM Pulse Amplitude Modulation Modulación por Amplitud de Pulsos

PAN Personal Area Network Red de Área Personal

PSK Phase Shift Keying Modulación por Desplazamiento de Fase

QAM Quadrature Amplitude Modulation Modulación de Amplitud en Cuadratura

QPSK Quadrature Phase Shift Keying Modulación por Desplazamiento

Cuaternario o en Cuadratura de Fase

RAM Random Access Memory Memoria de Acceso Aleatorio

ROM Read Only Memory Memoria de solo Lectura

SCR Software Controlled Radio Radio Controlado por Software

SDR Software Defined Radio Radio Definida por Software

SNR Signal to Noise Ratio Relación Señal/Ruido

TCP Transmission Control Protocol Protocolo de Control de Transmisión

VHDL Very high speed integrated circuit

Hardware Descriptive Language

Lenguaje de Descripción de Hardware

WAP Wireless Application Protocol Protocolo de Aplicaciones Inalámbricas

REFERENCIAS BIBLIOGRÁFICAS

A. Tarniceriu, B. Iordache, S. Spiridon, 2007. An Analysis on Digital Modulation Techniques for

Software Defined Radio Applications, in: CAS 2007. Presented at the Annual International

Semiconductor, Sinaia, Romania, pp. 571–574.

Aldaz, C.F., 2009. Estudio de la Tecnología SDR y Posibles Aplicaciones en Comunicaciones

Inalámbricas.

Arkesh, V., 2003. FPGA Implementation of a Low Power Doppler Invariant BFSK Receiver.

Bagga, J., Tripathi, N., 2011. Analysis of Digitally Modulated Signals using Instantaneous and

Stochastic Features, for Classification. International Journal of Soft Computing 1.

Bagga, J., Tripathi, N., 2012. Study and Comparison of Various Modulation Classification

Techniques under Noisy Channel Conditions. IJETAE 2, 216–221.

Benidris, F., Benmammar, B., Bendimerad, F.T., 2012. Comparative studies of artificial

Intelligence techniques in the context of cognitive radio. Presented at the International

Conference on Multimedia Information Processing.

Bhawna, Kaur, M., Lall, G.C., 2012. Various Approaches of Recognition of Digitally Modulated

Signals. IJCA 44.

Bhuvaneshwaran, M., Manigandan, P., Shanmugasundaram, R., 2012. Blind computation of a

signal using SDR. IJEST 4.

Briceño, J.E., 2005. Principios de las Comunicaciones, 3rd ed. Mérida, México.

Brox, P., Sánchez-Solano, S., Baturone, I., Barriga, A., 2010. Desarrollo de Sistemas de Procesado

Digital de Señal sobre Dispositivos Programables. Presented at the TAEE, Spain.

REFERENCIAS BIBLIOGRÁFICAS 89

Chen, W.M., Chen, C.Y., Chiu, Y.L., Hwang, J.K., 2005. An FPGA-Based Digital Modulation

Signal Generator with Fading Channel Emulation. 2005 International Sym. on

Communications (ISCOM).

Chiraz, T., Rabie, B.A., Samy, M., Jean-Luc, D., Abderrazek, J., 2011. A Model-Driven Approach

for Hybrid Power Estimation in Embedded Systems Design. EURASIP Journal on

Embedded Systems 2011.

Christos, T., Agathoklis, P., Theocharis, T., Maria K, M., Demosthenes, D., 2011. An MPSoC-

Based QAM Modulation Architecture with Run-Time Load-Balancing. EURASIP Journal

on Embedded Systems 15.

Das, A., 2010. Digital Communication: Principles and System Modelling. Springer-Verlag, Berlin.

Digilent, I., 2008. Digilent Nexys2 Board Reference Manual.

Fernández, T.M., 2011. Rapid Prototyping for Evaluating Vehicular Communications (PhD).

Gandhiraj, R., Ram, R., Soman, K.P., 2011. Analog and Digital Modulation Toolkit for Software

Defined Radio. Elsevier Ltd. 30, 1155–1162.

García, N., Rey, A., Raymond, L.G., 2012. Diseño FPGA de un modulador DTMB para

canalización de 6MHz. RIELAC XXXIII, 17–28.

Gontean, A., 2010. Current Stage of Basic Modulations Implemented on the FPGA. University

Politehnica Timisoara, Rumanía.

Gupta, V., 2012. Software Defined Radio.

H.Hguyeu, E.Shwedyle, 2009. A first course in Digital Communications. Cambridge University

Press, New York,.

Hatai, I., Chakrabarti, I., 2010. Multi-standard programmable baseband modulator for next

generation wireless communication. Arxiv preprint arXiv:1009.6132.

Haykin, S., 2001. Communciation Systems, 4th ed. John Wiley & Sons.

Hederström, J., 2010. Construction of FPGA-based Test Bench for QAM Modulators.

REFERENCIAS BIBLIOGRÁFICAS 90

IEEE Xplore, 2012. IEEE Xplore: Journals & Magazines [WWW Document]. URL

http://ieeexplore.ieee.org/xpl/periodicals.jsp

Islam, M., Hannan, M., Samad, S., Hussain, A., 2009. Modulation technique for software defined

radio application. Australian Journal of Basic and Applied Sciences 3, 1780–1785.

Jiménez, C.J., 2009. Diseño digital sobre dispositivos programables.

Joseph Mitola, 2000. Cognitive Radio An Integrated Agent Architecture for Software Defined

Radio (Doctor of Technology).

Joseph, N., Kumar, N., 2012. Power consumption reduction in a SDR based wireless

communication system using partial reconfigurable FPGA. VLSICS 3, 203–210.

Kumar, A., 2010. Channel Estimation in Multicarrier Code Division Multiple Access.

Le Nir, V., Scheers, B., 2012. Cognitive Radio Systems: State of the art.

Leung, B., 2012. VLSI for Wireless Communication, Second ed. Pearson Education, Canada.

Lorenzo-Ginory, J.V., 1983. Equipos Electrónicos para Transmisión de Datos. Pueblo y

Educación, Cuba.

Madhow, U., 2008. Fundamentals of Digital Communications.

Manjula, V.K., Sandya, S., 2012. SDR solution approach for Baseband- Personal Area Network.

IJETAE 645–648.

Marwanto, A., Sarijari, M.A., Fisal, N., Yusof, S.K.S., Rashid, R.A., 2009. Experimental study of

OFDM implementation utilizing GNU Radio and USRP-SDR. pp. 132–135.

MathWorks, 2012. MATLAB and Simulink for Technical Computing [WWW Document]. URL

http://www.mathworks.com/

Mehta, S., Sharma, S., Khanna, R., 2011. SMT-8036 based implementation of secured Software

Defined Radio system for adaptive modulation technique. Advances in Computing and

Communications 205–212.

REFERENCIAS BIBLIOGRÁFICAS 91

Meyer-Baese, U., Botella, G., Mookherjee, S., Castillo, E., García, A., 2011. Energy optimization

of Application-Specific Instruction-Set Processors by using hardware accelerators in

semicustom ICs technology. Microprocessors and Microsystems.

Mitola III, J., 1993. Software radios: Survey, critical evaluation and future directions. Aerospace

and Electronic Systems Magazine, IEEE 8, 25–36.

Nur Saffiyah, 2010. Audio Data Transmission using Software Defined Radio.

Peng, C.-L., 2010. Integrating FPGA with Multi-Core SDR Development Platform to Design

WiMAX Baseband System.

Popescu, S., Gontean, A., Budura, G., 2011. Simulation and implementation of a BPSK modulator

on FPGA. Presented at the 6th IEEE International Symposium on Applied Computational

Intelligence and Informatics, pp. 459–463.

Popescu, S.O., Gontean, A.S., Ianchis, D., 2011. QPSK Modulator on FPGA. IEEE, pp. 359–364.

Prakash, S., 2012. Integrating FPGA with Multicore SDR Development Platform to Design

Wireless Communication System.

Proakis, J.G., 2001a. Spread Spectrum Signals for Digital Communications. Wiley Online Library.

Proakis, J.G., 2001b. Digital Communications, 4th ed. McGraw Hill, New York.

Rodríguez-Gallo, Y., 2012. Simulador System Generator para la implementación de sistemas de

radio definida por software. Tono.

Sakla, T., Jain, D., Gautam, S., 2010. Implementation of Digital QPSK modulator by using

VHDL/MATLAB. International Journal of Engineering and Technology 2.

Sexto Congreso del Partido Comunista de Cuba, 2011. Lineamientos de la Política Económica y

Social del Partido y la Revolución. Presented at the VI Congreso del Partido Comunista de

Cuba, La Habana, Cuba.

Singh, C., 2012. Processor Design with DSP Enhancements for Wireless Applications.

Sisterna, C., 2012a. FPGA DesignTechniques. Presented at the Advanced Training Course on

FPGA Design for Scientific Instrumentation, ICTP, La Habana, Cuba.

REFERENCIAS BIBLIOGRÁFICAS 92

Sisterna, C., 2012b. Introducción al diseño FPGA-DSP. Presented at the Advanced Training

Course on FPGA Design for Scientific Instrumentation, ICTP, La Habana, Cuba.

Sonmez, M., Akbal, A., 2012. FPGA-Based BASK and BPSK Modulators Using VHDL: Design,

Applications and Performance Comparison for Different Modulator Algorithms. IJCA 42,

34–40.

Sreedaranath, S.M., 2010. Rapid Prototyping of Software Defined Radios using Model Based

Design for FPGAs.

Tariq, M.A., 2011. Quantitative Evaluation of High Speed Microwave Modem.

Tomasi, W., 2003. Sistemas de comunicaciones electrónicas, 4th ed. Pearson Educación, México.

Viejo, J., Villar, J., Juan, J., Millan, A., Ostua, E., Quiros, J., 2012. Long-term on-chip verification

of systems with logical events scattered in time. Microprocessors and Microsystems.

Wireless Innovation Forum, 2012. The Wireless Innovation Forum - Administration [WWW

Document]. URL http://www.wirelessinnovation.org/

Xilinx, I., 2011. System Generator for DSP Reference Guide.

Xilinx, I., 2012a. Xilinx User Community Forums [WWW Document]. URL

http://forums.xilinx.com/

Xilinx, I., 2012b. All Programmable Technologies from Xilinx Inc. [WWW Document]. URL

http://www.xilinx.com/

Xiong, F., 2000. Digital Modulation Techniques, Artech House Telecommunications Library. ed.

Artech House, London.

Zhang, L., Ma, Y., Liu, K., 2011. Realization and Optimization of the Data Transmission Radio

Receiver Based on SDR. Energy Procedia 13, 768–772.

ANEXOS

A. 1. Distribución de los pines utilizados en el Kit de desarrollo Nexys2

ANEXOS 94

A. 2. En la modulación ASK, área del enrutamiento en la cual se desconoce lo que

existe

A. 3. Ruteo efectuado por el compilador Xilinx ISE a la modulación OOK

ANEXOS 95

A. 4. Ruteo efectuado por el compilador Xilinx ISE a la modulación FSK

A. 5. Ruteo efectuado por el compilador Xilinx ISE a la Variante I de la modulación

BPSK

ANEXOS 96

A. 6. Ruteo efectuado por el compilador Xilinx ISE a la Variante II de la

modulación BPSK

A. 7. Ruteo efectuado por el compilador Xilinx ISE a la modulación QPSK

ANEXOS 97

A. 8. Ruteo efectuado por el compilador Xilinx ISE a la modulación 16QAM