Max Plus Compilar vhdl

10
[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009 Gustavo Salazar Loor [ guga_3888@hotmail. com ] Página 1 Esta es la página principal de Max Plus II………. 1. Crear un proyecto.

description

Una breve descripción de la creación y compilación de un archivo vhdl en Max Plus II

Transcript of Max Plus Compilar vhdl

Page 1: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 1

Esta es la página principal de Max Plus II……….

1. Crear un proyecto.

Page 2: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 2

A la derecha vemos la sección Directories donde debemos buscar la carpeta en la que

guardaremos nuestro proyecto. Mi carpeta se llama “prueba” y la he creado previamente

en el Escritorio de mi ordenador.

Luego escribimos el nombre de nuestro proyecto en la entrada de texto Project Name.

Como se ve, el mío se llamará “miproyecto”.

Clic en OK.

Luego de esto en la barra de título de la ventana de Max Plus II debe aparecer el directorio

dela carpeta de tu proyecto.

Page 3: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 3

2. Crear un archivo VHDL

File�New .

Luego se escoge la opción Text Editor File. Las demás opciones no nos interesan por el

momento.

Clic OK.

Page 4: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 4

Entonces aparecerá esta ventana:

Luego podemos escribir el código VHDL

Page 5: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 5

Guardamos el documento:

Aparecerá el cuadro SAVE AS.

En File Name: escribimos el nombre de nuestro archivo. El mío se llamará “ejercicio”. Este

nombre debe ser el mismo de la Entity dentro del archivo vhdl.

Page 6: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 6

En Directories: buscamos la carpeta de nuestro proyecto. En mi caso “prueba”

En Automatic Extension: escogemos “.vhd”

Clic OK.

Las palabras reservadas de VHDL cambiarán de color:

3. Compilar

En la barra de herramientas buscamos el botón que te muestro encerrado. Al presionarlo,

hacemos que “el programa apunte” al archivo actual, al archivo presente. En nuestro caso

a ejercicio.vhd. Esto es necesario para poder compilar.

La barra de título cambiará:

Page 7: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 7

Max+Plus II -> Compiler

Aparece el cuadro Compiler

Page 8: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 8

Processing -> Functional SNF Extractor

Clic en Start

Page 9: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 9

Existe un error en el archivo.

El error es este.

Excribí ejercico en vez de ejercicio, que es el nombre de mi Entity.

Luego de haber corregido:

Page 10: Max Plus Compilar vhdl

[COMPILAR UN ARCHIVO VHDL CON MAX PLUS II] 15 de abril de 2009

G u s t a v o S a l a z a r L o o r [ g u g a _ 3 8 8 8 @ h o t m a i l . c o m ]

Página 10