Instructivo Bcd 7 Segmentos

29
GUIA CODIFICADOR BCD a 7 SEGMENTOS EN VHDL ELECTRONICA DIGITAL 2 HUGO ALBERTO GONZALEZ LOPEZ CONCEPTOS VHDL Cuando trabajamos diseño en VHDL en un kit de desarrollo, básicamente lo que estamos haciendo es crear un nuevo dispositivo que hará parte de la galería de símbolos esquemáticos de la plataforma en la cual estamos trabajando. Para esto debemos abordar 2 elementos conceptuales que son la base del diseño, estos son la entidad y la arquitectura. Entidad La entidad del diseño lo constituye la mirada del dispositivo desde la perspectiva de hardware reconociendo su estructura de entradas y salidas. Para el caso especifico del codificador BCD a 7 display de segmentos, las entradas corresponden a los cuatro bits del código BCD, mientras que las salidas corresponden a los 7 bits de cada uno de los segmentos del display, pero adicionalmente se requieren 4 salidas para identificar cual de los 4 displays que posee la tarjeta se desea activar, por lo tanto para este ejercicio se deberán utilizar 11 salidas. Arquitectura La arquitectura por su parte pretende explicar que sucede al interior de la caja del dispositivo. PROCEDIMIENTO Creación del Proyecto Para Tarjetas Spartan 3 (Disponibles en el laboratorio) Para crear un nuevo proyecto se abre el programa y en la opción FILE se da click en NEW PROJECT DISPOSIT IVO Sali Entrad

description

Instructivo para trabajar 7 segmentos en protoboard

Transcript of Instructivo Bcd 7 Segmentos

Page 1: Instructivo Bcd 7 Segmentos

GUIA CODIFICADOR BCD a 7 SEGMENTOS EN VHDL

ELECTRONICA DIGITAL 2

HUGO ALBERTO GONZALEZ LOPEZ

CONCEPTOS VHDL

Cuando trabajamos diseño en VHDL en un kit de desarrollo, básicamente lo que estamos haciendo es crear un nuevo dispositivo que hará parte de la galería de símbolos esquemáticos de la plataforma en la cual estamos trabajando. Para esto debemos abordar 2 elementos conceptuales que son la base del diseño, estos son la entidad y la arquitectura.

Entidad

La entidad del diseño lo constituye la mirada del dispositivo desde la perspectiva de hardware reconociendo su estructura de entradas y salidas.

Para el caso especifico del codificador BCD a 7 display de segmentos, las entradas corresponden a los cuatro bits del código BCD, mientras que las salidas corresponden a los 7 bits de cada uno de los segmentos del display, pero adicionalmente se requieren 4 salidas para identificar cual de los 4 displays que posee la tarjeta se desea activar, por lo tanto para este ejercicio se deberán utilizar 11 salidas.

Arquitectura

La arquitectura por su parte pretende explicar que sucede al interior de la caja del dispositivo.

PROCEDIMIENTO

Creación del Proyecto Para Tarjetas Spartan 3 (Disponibles en el laboratorio)

Para crear un nuevo proyecto se abre el programa y en la opción FILE se da click en NEW PROJECT

DISPOSITIVO SalidasEntradas

Page 2: Instructivo Bcd 7 Segmentos

En la ventana que aparece se escribe el nombre del proyecto en PROJECT NAME

Luego aparece la ventana DEVICE PROPERTIES

Page 3: Instructivo Bcd 7 Segmentos

En la opción FAMILY se selecciona SPARTAN 3

En la opción DEVICE se selecciona XC3S200

Page 4: Instructivo Bcd 7 Segmentos

En la opción PACKAGE se selecciona FT256

En la opción SPEED se selecciona -4

Page 5: Instructivo Bcd 7 Segmentos

Se da click en NEXT

Se da click en NEXT

Page 6: Instructivo Bcd 7 Segmentos

Se da click en FINISH

Page 7: Instructivo Bcd 7 Segmentos

Creación del Modulo VHDL

En el dispositivo se da click derecho y se escoge la opción NEW SOURCE para crear una fuente

En esta ventana se le da el nombre a la nueva fuente en FILE NAME y se selecciona el tipo de fuente en el menú de la izquierda en este caso para programar en VHDL se escoge la opción VHDL MODULE y se da click en NEXT.

Page 8: Instructivo Bcd 7 Segmentos

Se da click en NEXT

Se da click en FINISH

Page 9: Instructivo Bcd 7 Segmentos

Aparece una ventana en donde escribiremos nuestro programa

Al terminar nuestro programa procederemos a crear el símbolo esquemático

Page 10: Instructivo Bcd 7 Segmentos

Creación del Símbolo Esquemático

En el menú de la izquierda se abre el sub menú de la opción DESIGN UTILITIES y se da doble click en la opción CREATE SCHEMATIC SYMBOL.

Page 11: Instructivo Bcd 7 Segmentos

Se da click en YES para guardar cambios

Page 12: Instructivo Bcd 7 Segmentos

Si en la parte inferior aparece un mensaje diciendo que el proceso de creación del símbolo esquemático ha sido satisfactorio procederemos a buscar el símbolo.

Page 13: Instructivo Bcd 7 Segmentos

Utilización del Símbolo Esquemático en un Diseño Esquematico

En el dispositivo se da click derecho y se escoge la opción NEW SOURCE para crear una nueva fuente

Page 14: Instructivo Bcd 7 Segmentos

Se le da el nombre a la nueva fuente en FILE NAME y se selecciona el tipo de fuente en el menú de la izquierda en este caso para crear circuitos esquemáticos se escoge la opción SCHEMATIC y se da click en NEXT.

Se da click en FINISH

Page 15: Instructivo Bcd 7 Segmentos

Procedemos a buscar el dispositivo a través del nombre de la fuente en VHDL, buscamos el dispositivo escribiendo su nombre en SYMBOL NAME FILTER y lo seleccionamos de SYMBOLS llevándolo a la pantalla de circuitos esquemáticos, podemos utilizar las opciones de zoom para visualizar mejor el dispositivo.

Referenciamos los pines de entrada con el botón ADD I/O MARKER

Page 16: Instructivo Bcd 7 Segmentos

Se da doble click en los pines y aparecerá una ventana para escribirles el nombre, no se debe borrar lo que se encuentra en paréntesis y se da click en OK.

En el dispositivo deberán aparecer los nombres y en paréntesis el número de pines que contiene.

Page 17: Instructivo Bcd 7 Segmentos

Debemos buscar las fuentes para poder sintetizar y encontrar posibles errores, para buscar las fuentes damos click en SOURCE y para desplegar el menú damos click en PROCESSES.

Page 18: Instructivo Bcd 7 Segmentos

En la ventana de procesos damos doble click en SYNTHESIZE – XST

Page 19: Instructivo Bcd 7 Segmentos

Aparecerá una ventana diciendo que si deseamos guardar los cambios le damos click en YES.

Asignación de Pines

Abrimos el sub menú de la opción USER CONSTRAINTS y damos doble click en ASSIGN PACKAGE PINS

Page 20: Instructivo Bcd 7 Segmentos

En la ventana que aparece, en la columna LOC se escriben los nombres de los puertos de los dispositivos de la tarjeta que van a ser usados como entradas y salidas.

Al terminar de asignar los pines, se guarda el archivo y aparecerá una ventana, seleccionamos la opción XST DEFAULT <> y se da click en OK.

Page 21: Instructivo Bcd 7 Segmentos

Programación del FPGA

Conectamos el cable JTAG3 que viene con el kit.

Damos derecho click en la opción GENERATE PROGRAMMING FILE y seleccionamos la opción PROPERTIES.

Page 22: Instructivo Bcd 7 Segmentos

Damos click en la opción STARTUP OPTIONS y en la ventana VALUE seleccionamos JTAG CLOCK y OK.

Damos doble click en la opción GENERATE PROGRAMMING FILE y cerramos la ventana que aparece en pantalla.

Page 23: Instructivo Bcd 7 Segmentos

Abrimos el sub menú de GENERATE PROGRAMMING FILE y damos doble clic en CONFIGURE DEVICE (IMPACT).

Aparecera una ventana, damos click en FINISH.

Page 24: Instructivo Bcd 7 Segmentos

En la primera ventana seleccionamos el archivo en .BIT y damos click en OPEN y cerramos la segunda ventana que aparece.

Damos click en un espacio antes del dispositivo y cuando se ponga de color verde damos click derecho en él y seleccionamos la opción PROGRAM…

Page 25: Instructivo Bcd 7 Segmentos

En la ventana que aparece damos click en OK.

ANEXOS CODIGO VHDL

Entidad

Arquitectura