Informe de Maquinas Secuenciales Conteo 0 a 6

15
UNIVERSIDAD TECNOLÓGICA EQUINOCCIAL CAMPUS SANTO DOMINGO ELECTRÓNICA APLICADA Y LABORATORIO TEMA: MAQUINAS SECUENCIALES DE ESTADO FINITO INTEGRANTES: LARA FABRICIO SANCHEZ CRISTIAN CONDOY DARWIN CATEDRÁTICO: ING. CHRISTIAN MACÍAS FACULTAD: CIENCIAS DE INGENIERÍA CARRERA:

Transcript of Informe de Maquinas Secuenciales Conteo 0 a 6

Page 1: Informe de Maquinas Secuenciales Conteo 0 a 6

UNIVERSIDAD TECNOLÓGICA EQUINOCCIAL

CAMPUS SANTO DOMINGO

ELECTRÓNICA APLICADA Y LABORATORIO

TEMA:

MAQUINAS SECUENCIALES DE ESTADO FINITO

INTEGRANTES:

LARA FABRICIO

SANCHEZ CRISTIAN

CONDOY DARWIN

CATEDRÁTICO:

ING. CHRISTIAN MACÍAS

FACULTAD:

CIENCIAS DE INGENIERÍA

CARRERA:

INGENIERÍA ELECTROMECÁNICA Y AUTOMATIZACIÓN

AÑO:

2010

Page 2: Informe de Maquinas Secuenciales Conteo 0 a 6

PRÁCTICA FINAL

TEMA:

MAQUINAS SECUENCIALES DE ESTADO FINITO

OBJETIVOS:

Relacionarse con el diseño de máquinas secuenciales de estado finito. Determinar el mejor modelo según las especificaciones del problema. Implementar un circuito secuencial, según el modelo seleccionado.

MARCO TEÓRICO

MAQUINAS SECUENCIALES DE ESTADO FINITO

Las funciones que desempaña una maquina de estado finito es un factor importante debido a que tienen un campo muy amplio de aplicación, cada uno de los elementos que se requieren para que estas maquinas desempeñen funciones necesarias e importantes.

Características principales

Debe tener una señal de sincronización (señal del reloj).

Debe tener un elemento de memoria.

Debe tener por lo menos un camino de realimentación de la salida hacia la entrada

Diagrama de bloques de una maquina secuencial

Page 3: Informe de Maquinas Secuenciales Conteo 0 a 6

Estado de una maquina secuencial o estado actual.- Es un código que la maquina presenta directamente a la salida del elemento de memoria. Este código se denomina código actual o variables de estados.

Decodificador de próximo estado.- Decodifica las variables de estado actual con las variables de entradas para determinar el próximo estado de la maquina el cual al pasar a las salidas del elemento de memoria se convierten en el código actual o estado actual.

Decodificador de salida.- Decodifica el estado actual con las entradas para obtener la o las señales de salidas.

Restricciones para el diseño de maquinas secuenciales de estado finito

- Máximo 2 entradas externas.- Máximo 16 estados.

Aplicaciones de las maquinas secuenciales

- Detectores de secuencia de un código.- Registros de contadores estándar.- Generadores de códigos secuenciales.- Sistemas controladores de multientrada.

Tipos de maquinas secuenciales

- Maquina de Mealy (clase A).- Maquina de Moore (clase B).- Maquina de Moore (clase C).

Decodificador de

próximo estadoElemento

de memoria

Decodificador de salida

Código o entradas externasCódigo de próximo estadoVariables de estado o estado actual

Código de salida

Tipo de flip-flop

Page 4: Informe de Maquinas Secuenciales Conteo 0 a 6

Clase A.- Es aquella en que las salidas están en función de las variables de estado y de las variables de entradas. (Maquina completa).

Clase B.- Es aquella en que las salidas están en función solo de las variables de estado. (No existen entradas externas o código de entradas).

Clase C.- Es aquella en que las salidas son las mismas variables de estado. (No existen entradas externas y decodificador de salida).

Decodificador de

próximo estadoElemento

de memoria

Decodificador de salida

Código o entradas externasCódigo de próximo estadoVariables de estado o estado actual

Código de salida

Tipo de flip-flop

Page 5: Informe de Maquinas Secuenciales Conteo 0 a 6

Diagrama de estado

Es una ayuda y es lo más importante para el análisis y diseño de maquinas secuenciales. Se emplean para describir las transiciones de estado a estados de una maquina secuencial. En si determinan el funcionamiento de la maquina secuencial.

Pasos a seguir para el diseño de M. S. de estado finito

1.- Estudiar las especificaciones para tener una idea real de la operación del circuito.

2.- Realizar el diagrama de bloques identificando todas las entradas y salidas.

bUU

dVV

eMM

Estado previo (anterior)

cSS

Pulso del reloj (flanco)Estado actualNombre del estadoCódigo de identificación del estado (variables de estados)

Próximos estados

VVQQ

YYWW

XXWW

Condición de entrada que permitan pasar de “b” a “c”Especificación de salida para el estado “b”

Page 6: Informe de Maquinas Secuenciales Conteo 0 a 6

3.- Realizar un diagrama de estados primitivos.

4.- Desarrollar una tabla de estados primitivos a partir del diagrama primitivo con 2 fines:

- Eliminar estados redundantes.- Hacer la asignación de estados (código o variables de estados, código actual).

5.- Si es necesario realizar un diagrama o tabla de estados simplificados.

6.- Desarrollar una tabla de presente y próximo estado empleando las asignaciones hechas en el diagrama simplificado.

7.- Desarrollar los mapas K de próximo estado tomando en cuenta la tabla del paso 6 con la asignación de estados y el tipo de flip-flop escogido.

8.- Desarrollar la lógica para el decodificador de salida.

9.-Implementar el circuito.

TEMPORIZADOR 555

El LM555 es un circuito integrado que incorpora dentro de sí dos comparadores de voltaje, un flip flop, una etapa de salida de corriente, divisor de voltaje resistor y un transistor de descarga. Dependiendo de cómo se interconecten estas funciones utilizando componentes externos es posible conseguir que dicho circuito realice un gran número de funciones tales como la del multivibrador estable y la multivibrador monoestable.

MATERIALES Y EQUIPOS:

2 temporizadoresLM555 (1)

2 integrados 7476

4 integrados 7432

1 integrado 7404

Page 7: Informe de Maquinas Secuenciales Conteo 0 a 6

3 integrados 7411

2 integrados 7408

1 integrado 7421

1 dip-switch

2 pulsadores

1 Protoboard

Selector de dos posiciones(1)

Fuente de alimentación

Conductores

Capacitores: 10uF(1), 0.01uF(1)

7 resistencias 220 ohmios

1 display cátodo común.

PROBLEMA:

Diseñar una maquina secuencial de estado finito (circuito secuencial), que cuente de 0 a 6 con las siguientes condiciones de funcionamiento:

X Y OPERACIÓN

0 0 Impares descendente0 1 Descendente1 0 Ascendente1 1 Pares ascendente

De manera que el conteo sea automático y manual, y la salida que sea visualizada mediante un display.

SOLUCIÓN:

DIAGRAMA DE ESTADO

MAQUINA SECUENCIAL

XY

UVW

ENTRADAS SALIDAS

Page 8: Informe de Maquinas Secuenciales Conteo 0 a 6

DESARROLLO DE UNA TABLA DE ESTADOS

Estado actual. Entradas. Próximo estado. Verdadero próximo estado. Salidas.

A B C X Y A

n+1B

n+1C

n+1 JA KA JB KB JC KC U V W0 0 0 0 0 1 1 0 1 X 1 X 0 X 0 1 10 0 0 0 1 1 0 0 1 X 0 X 0 X 0 1 10 0 0 1 0 0 0 1 0 X 0 X 1 X 0 1 10 0 0 1 1 0 0 1 0 X 0 X 1 X 0 1 10 0 1 0 0 0 0 0 0 X 0 X X 1 1 0 00 0 1 0 1 0 0 0 0 X 0 X X 1 1 0 00 0 1 1 0 1 0 1 1 X 0 X X 0 1 0 00 0 1 1 1 1 1 1 1 X 1 X X 0 1 0 0

010110100000001101111

a

b

c

de

f

g

Page 9: Informe de Maquinas Secuenciales Conteo 0 a 6

0 1 0 0 0 1 0 1 1 X X 1 1 X 0 0 00 1 0 0 1 1 1 1 1 X X 0 1 X 0 0 00 1 0 1 0 1 1 0 1 X X 0 0 X 0 0 00 1 0 1 1 1 0 0 1 X X 1 0 X 0 0 00 1 1 0 0 X X X X X X X X X X X X0 1 1 0 1 X X X X X X X X X X X X0 1 1 1 0 X X X X X X X X X X X X0 1 1 1 1 X X X X X X X X X X X X1 0 0 0 0 1 1 0 X 0 1 X 0 X 0 1 01 0 0 0 1 1 1 0 X 0 1 X 0 X 0 1 01 0 0 1 0 0 0 0 X 1 0 X 0 X 0 1 01 0 0 1 1 0 0 1 X 1 0 X 1 X 0 1 01 0 1 0 0 0 0 0 X 1 0 X X 1 1 0 11 0 1 0 1 0 0 1 X 1 0 X X 0 1 0 11 0 1 1 0 1 1 1 X 0 1 X X 0 1 0 11 0 1 1 1 1 1 1 X 0 1 X X 0 1 0 11 1 0 0 0 1 0 1 X 0 X 1 1 X 0 0 11 1 0 0 1 0 1 0 X 1 X 0 0 X 0 0 11 1 0 1 0 1 0 0 X 0 X 1 0 X 0 0 11 1 0 1 1 1 0 0 X 0 X 1 0 X 0 0 11 1 1 0 0 1 0 1 X 0 X 1 X 0 1 1 01 1 1 0 1 1 0 1 X 0 X 1 X 0 1 1 01 1 1 1 0 0 1 0 X 1 X 0 X 1 1 1 01 1 1 1 1 0 1 0 X 1 X 0 X 1 1 1 0

DESARROLLO DE LOS MAPAS K

(Decodificador de próximo estado)

Page 10: Informe de Maquinas Secuenciales Conteo 0 a 6
Page 11: Informe de Maquinas Secuenciales Conteo 0 a 6

(Decodificador de salida)

Page 12: Informe de Maquinas Secuenciales Conteo 0 a 6
Page 13: Informe de Maquinas Secuenciales Conteo 0 a 6

ESQUEMA:

Page 14: Informe de Maquinas Secuenciales Conteo 0 a 6

PROCEDIMIENTO:

Investigar las características de cada dispositivo para poder realizar nuestro diagrama

Diseñar un diagrama de acuerdo a nuestras necesidades Verificamos que nuestro diagrama cumple lo requerido para su funcionamiento Analizamos todos los dispositivos que conforman nuestro circuito Procedemos a armar nuestro circuito en el Protoboard Verificar todas las conexiones antes de energizar el circuito

CONCLUSIONES:

Concluimos que dentro de las maquinas secuenciales es importante la función que realiza el reloj de pulsos, porque de este depende el funcionamiento del circuito, que se establece mediante pulsos.

Aprendimos mas a cerca de la función que cumplen estos tipos de maquinas secuenciales, lo mas primordial es que esta función se establece en forma de secuencia, por lo que se debe cumplir con todas las características de los circuitos secuenciales.

Determinamos que el diseño de la maquina secuencial es de tipo B ya que las variables de entrada no intervienen en el decodificador de salida solo dependen de las variables de estado.

Establecimos que siempre es importante acoplar tanto un circuito en donde el conteo es automático acoplado a un circuito integrado LM555, y un circuito en donde el conteo es manual (antirrebotes), la función de este es primordial porque al dar pulsos de toda la secuencia establecida, nos damos cuenta que su conteo es el establecido en la práctica o si no es normal podemos verificar su falla al dar el pulso en la falla.

Mediante la aplicación del integrado LM555, este nos permite automatizar la señal del reloj (conteo automático de los pulsos).

Se concluyo que un circuito anti rebotes, este nos permite realizar el conteo de los pulsos pero manualmente.

Page 15: Informe de Maquinas Secuenciales Conteo 0 a 6

RECOMENDACIONES:

Es importante al momento del diseño de cualquier maquina secuencial seguir con los pasos establecidos y con lo aprendido en todo el trascurso de la materia.

Verificar que todas las conexiones de nuestro diagrama antes de proceder a energizar nuestro circuito por cualquier daño o cortocircuito que se pudiera realizar y no poner en riesgo nuestro trabajo.

Es importante recomendar que para estos tipos de circuitos tenemos que analizar, comprender las condiciones del problema, plantear, diseñar y observar que es lo que se verifica en sus salidas lo que depende de una excelente conexión de todos los elementos que conforman el circuito.

Es recomendable conocer el funcionamiento de los diferentes dispositivos utilizados y sus componentes para poder aplicarlos al diseño de cualquier circuito que se plantee.

BIBLIOGRAFÍA:

www.electronicafacil.net/tuturiales/maquinas secuenciales.php www.quiwinet.com Usuarios.multimanca.es/bnunez/digitales/m.s.pdf Apuntes de clases