ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA...

290
ESCUELA POLITÉCNICA NACIONAL FACULTAD DE INGENIERÍA ELÉCTRICA TESIS DE GRADO PREVIA A LA OBTENCIÓN DEL TITULO DE INGENIERO EN ELECTRÓNICA Y TELECOMUNICACIONES DISEÑO Y CONSTRUCCIÓN DE UN DECODIFICADOR DE TELETEXTO FRANKLIN GUSTAVO CARVAJAL PÉREZ ENERO DE 1996

Transcript of ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA...

Page 1: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ESCUELA POLITÉCNICA NACIONAL

FACULTAD DE INGENIERÍA ELÉCTRICA

TESIS DE GRADO

PREVIA A LA OBTENCIÓN DEL TITULO DE

INGENIERO EN ELECTRÓNICA Y TELECOMUNICACIONES

DISEÑO Y CONSTRUCCIÓN DE UN DECODIFICADOR

DE TELETEXTO

FRANKLIN GUSTAVO CARVAJAL PÉREZ

ENERO DE 1996

Page 2: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CERTIFICO QUE, BAJO MI DIRECCIÓN,

LA PRESENTE TESIS FUE REALIZADA

EN SU TOTALIDAD POR EL SEÑOR

F. GUSTAVO CARVAJAL P.

7fG. FERNADQ FLORES C.

DIRECTOR DE TESIS

Page 3: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

AGRADECIMIENTO

Al Ing. Fernando Flores, por su acertada dirección

en proporcionar sus valiosos conocimientos, al Ing. Pablo

Hidalgo L. quien con sus consejos supo guiarme en los

momentos más difíciles de la realización del presente trabajo

y a mis amigos que de una u otra manera pusieron su granito

de arena para hacer posible el objetivo planteado

Page 4: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

DEDICATORIA

El presente trabajo va dedicado a todas las personas

que de una u otra manera han formado mi carácter, y

de manera especial, a mis padres, que con su apoyo,

cariño y paciencia han hecho posible que haya

terminado mi carrera

Page 5: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ÍNDICE

INTRODUCCIÓN v

CAPITULO I

1 GENERALIDADES 1

1.1 DESCRIPCIÓN GENERAL DE LA SEÑAL DE TELEVISIÓN 2

1.1.1 POLARIZACIÓN DE LA TRANSMISIÓN 3

1.1.2 AM.PLITUD DE LA SEÑAL DEDICADA AL SINCRONISMO 3

1.1.3 TRANSMISIÓN DEL NIVEL DE NEGRO 3

1.1.4 REVISIÓN DEL PROCESO DE EXPLORACIÓN 4

1.1.5 DETALLES DE LA SEÑAL COMPUESTA DE VIDEO 6

1.1.6 DETALLES DE LA EXPLORACIÓN ENTRELAZADA 13

1.1.7 DETALLES DE LOS BORRADOS DE LINEAS Y DE CAMPOS 17

1.1.8 SISTEMAS DE TELEVISIÓN A COLOR 22

1.1.8.1 NATIONAL TELEVISIÓN SYSTEMS COMMITEE (NTSC) 22

1.1.8.2 PHASE ALTERNATING LINE (PAL) 24

1.1.8.3 SEQUENTIAL COULEOUR A MEMOIRE (SECAM) 27

1.2 UTILIZACIÓN DEL INTERVALO DE BORRADO VERTICAL PARA 29

TRANSMISIÓN DE DIFERENTES TIPOS DE DATOS

1.2.1 t QUE ES EL TELETEXTO? 29

1.2.2 CARACTERÍSTICAS DEL TELETEXTO 30

1.3 ¿QUE SEÑALES ESTÁN DISPONIBLES? . 41

1.3.1 ' CLOSED CAPTION 41

Page 6: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.3.1.1 REQUERIMIENTOS DEL CLOSED CAPTION 42

1.3.2 REQUERIMIENTOS PARA DECODIFICACIÓN

DEL TELETEXTO 49

1.3.2.1 WORLD STANDARD TELETEXT 49

1.3.2.2 NORTH AMERICAN BROADCAST TELETEXT 52

1.3.3 NETWORK TIME STAMP 54

1.3.4 VERTICAL ÍNTER VAL TIME CODE (VITC) 56

2 HARDWARE 58

2.1 DESCRIPCIÓN Y FUNCIONAMIENTO DEL HARDWARE 58

2.2 DISEÑO DEL MODULO DIGITAL 63

2.3 DISEÑO DEL MODULO ANALÓGICA/DIGITAL 68

2.4 CONSTRUCCIÓN DEL EQUIPO 81

CAPITULO III

3 DESCRIPCIÓN Y FUNCIONAMIENTO DEL SOFTWARE 90

3.1 PROGRAMA PRINCIPAL 91

3.1.1 COMANDOS GENERALES 99

3.1.1.1 COMANDO DE AYUDA 99

3.1.1.2 COMANDOS VARIOS 101

3.1.2 COMANDOS ESPECÍFICOS 104

3.1.2.1 COMANDOS PARA CLOSED 'CAPITÓN 105

3.1.2.2 COMANDOS PARA REDES DE TIEMPO 105

3.1.2.3 COMANDOS PARA VITC 106

3.1.2.4 COMANDOS PARA WORLD STANDARD TELETEXT 106

3.1.2.5 COMANDOS PARA NORTH AMERICAN BROADCAST

TELETEXT (NABT) 107

11 -

Page 7: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.2 PROGRAMAS DE DECODIFICACION 108

3.2.1 PROGRAMA CCMAIN • 109

3.2.1.1 COMANDOS QUE UTILIZAN EL PROGRAMA CCMAIN 114

3.2.1.1.1 COMANDO CCRAW 114

3.2.1.1.2 COMANDO CCDEPUR 122

3.2.1.1.3 COMANDO CC 123

3.2.1.1.4 COMANDO CCNP 123

3.2.1.1.5 COMANDO CCTEXT 124

3.2.2 PROGRAMA NABTMAIN 125

3.2.2.1 COMANDOS QUE UTILIZAN EL PROGRAMA NABTMAIN 131

3.2.2.1.1 COMANDOS NABT-SCAN Y NABT-BUFFER 131

3.2.2.1.2 COMANDO NABT-PARIDAD 134

3.2.2.1.3 COMANDO NABT-RAW 134

3.2.2.1.4 COMANDOS NABT 135

3.2.3 PROGRAMA WSTMAIN ] 45

3.2.3.1 COMANDOS QUE UTILIZAN EL PROGRAMA WSTMAIN 151

3.2.3.1.1 COMANDO WSTPAG 151

3.2.3.1.2 COMANDO WSTSCAN y WSTBUFFER 152

3.2.3.1.3 COMANDO WSTPARIDAD 152

3.2.3.1.4 COMANDO WSTRAW 154

3.2.3.1.5 COMANDO WST-TEXT , 155

3.2.4 PROGRAMA VITCMAIN 165

3.2.4.1 COMANDO VITCRAW 169

3.2.4.2 COMANDO VITC 169

3.2.5 PROGRAMA CLOCKMAJN 171

- 111 -

Page 8: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CAPITULO IV

4.1 PRESENTACIÓN DEL EQUIPO

4.2 PRUEBAS DEL HARDWARE

4.2.1 CALIBRACIÓN DE CLOSED CAPTION

. 4.2.2 CALIBRACIÓN DE TIME STAMP y VITC

4.2.3 CALIBRACIÓN DE WST Y NABT

4.3 INTERACCIÓN ENTRE HARDWARE Y SOFTWARE

176

179

181

185

186

187

CAPITULO V

CONCLUSIONES Y RECOMENDACIONES 190

BIBLIOGRAFÍA 196

ANEXOS

ANEXO A: GUIA DEL USUARIO

ANEXO B: NUEVO ESTÁNDAR PARA TEXTO Y GRÁFICOS (NAPLPS)

ANEXO C: HOJAS DE DATOS DE LOS PRINCIPALES ELEMENTOS

UTILIZADOS

ANEXO D: LISTADO DEL PROGRAMA

- iv -

Page 9: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

INTRODUCCIÓN

En la actualidad las comunicaciones se lian convertido en un servicio

imprescindible, el cual debe tener una estructura tal que sea capaz de enlazar a

continentes, países, ciudades, etc. (por más distantes que éstos sean) con la mayor

rapidez, bajo costo y el menor error posible.

Tal es el caso de necesidad de comunicación que se ha creado la hoy muy

famosa INTERNET, con la cual la mayoría de personas obtienen o envían

información a nivel mundial a través de modem utilizando las computadoras, sin

embargo en la actualidad existen métodos alternativos como por ejemplo la

televisión. Es así que en estos días se transmiten diariamente transcripciones de

muchos programas de TV. y varios otros servicios interesantes en una parte de la

televisión que nunca se ve porque aquella información esta escondidos en los

intervalos verticales de borrado.

Los Intervalos Verticales de Borrado o VBI, están en las primeras 23 líneas

de cada trama de video, esta es una porción de la imagen que normalmente no se

ve a menos que el control de bloqueo vertical sea manipulado de manera que la

imagen se pierda en su totalidad y sólo queda una estrecha franja en la cual se

pueda observar pulsos que representan los bits de información incrustados en

dichas líneas. Puesto que las 9 primeras líneas del VBI son pulso de ecualización

y sincronismo vertical, solamente líneas de la 10 a la 23 contendrán datos útiles.

En este punto surge la siguiente pregunta: ¿QUE CLASE DE INFOR-

MACIÓN SE ENCUENTRA EN EL VBI?

- v -

Page 10: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El Intervalo de Borrado Vertical (VBI) contiene varias señales; como por

ejemplo, las señales de calibración cuya importancia encierra propósitos de

medición; éstas señales son: la Señal de Prueba del Intervalo Vertical (VTTS) y la

Señal de Referencia del Intervalo Vertical (VIRS). Pero en la actualidad existen

señales más interesantes que caen en la categoría de teletexto (detalladas en el

capítulo I) como son: las de Teletexto propiamente dichas, Closed Captions, La

Vertical Interval Time Code VITC y la de Time Stamps.

Teletexto es el envío de información de texto y/o gráficos vía televisión; esta

es una subdivisión de videotexto, que es un sistema interactivo de 2 vías usualmente

transmitidos por línea telefónica, puesto que el teletexto se envía vía TV, esta es

de naturaleza no interactiva. El sistema Teletexto requiere de un receptor de

información que usa un decodifícador especial para recuperar la información y ser

desplegado en pantalla, la mayoría de sistemas de Teletexto envía información en

páginas.

La forma más común de Teletexto en EEUU, es closed caption. Closed

Caption permite a millones de televidentes con problemas auditivos gozar de sus

programas favoritos de televisión a través del uso de un decodificador que

desplegará los caracteres ASCII enviados en el VBI, caracteres que representan la

transcripción o resume de las escenas.

Las otras señales codificadas digitalmente que se encuentra en el VBI son

las de información de tiempo; es decir, contienen el día, hora, minutos, segundos,

en tiempo real. Estas señales son: la VITC y la time stamp.

Para describir las formas y significados de las señales de teletexto, se

necesita de una operación de captura y decodificación de datos del VBI,

decodificador basado en un 8031, el cual permitirá explorar el VBI de sus varias

señales de información, esta etapa de exploración y captura de datos será ejecutada

con un hardware controlado por dicho microprocesador (cuyo detalle de

- vi -

Page 11: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

funcionamiento del hardware se encuentra en el capítulo II), este elemento le

permite seleccionar que línea VBI va a momtorear, y generar señales de

interrupciones de video y sincronismo necesarias. Para que este microprocesador

pueda controlar adecuadamente el ingreso de datos, así como también genere las

formas adecuadas de salidas en pantalla requeridas para cada tipo de señal, se

requiere de un software desarrollado en lenguaje Assembler cuya descripción se la

ha detallada en el capítulo III.

Una vez probado la funcionalidad del equipo desarrollado se ha ejecutado

varios tipos de pruebas, para lo cual se ha hecho un seguimiento de la señal desde

el momento que ingresa (señal de video), pasando por la etapa de recolección/

recuperación de datos (captura de bits del VBI) hasta llegar a desplegar la

información decodifícada en forma legible en un terminal. Este tipo de.pruebas

realizadas se encuentra detallada en el capítulo IV.

Page 12: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CAPITULO I

1.- GENERALIDADES

Antes de adentrarnos en el estudio de las señales que vienen insertadas en

el intervalo de borrado vertical es importante comprender en qué parte de la señal

de video vienen estos datos; para lo cual se describirá la composición básica de nna

señal de televisión (por ejemplo transmisión de video en blanco y negro), donde

la imagen en la pantalla receptora deberá ser una reproducción fiel de la enviada

desde el estudio o escena original.

Para que esto sea posible es necesario que el punto explorador se mueva a

través del tubo de imagen del receptor a la misma velocidad y al mismo tiempo que

el punto explorador se mueve a través del tubo de cámara, y además que ocupe en

todo momento la misma posición relativa en su campo de exploración. Si no se

cumple cualquiera de estas condiciones será imposible mantener la imagen íntegra

y fíja en el receptor y podría desviarse a través de la pantalla, o disolverse en

imágenes múltiples o fragmentadas.

Para asegurar una sincronización exacta entre el transmisor y el receptor, lo

que se hace es mezclar una serie de pulsos, llamados de sincronismo, con la señal

de imagen proveniente del tubo de cámara. Estas señales se transmiten juntas en

la estación original pero en el receptor los pulsos se separan del contenido de la

señal de imagen y se usan para sincronizar Jos circuitos de barrido horizontal

presentes en el receptor.

- 1 -

Page 13: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.1 DESCRIPCIÓN GENERAL DE LA SEÑAL DE TELEVISIÓN

Una imagen se explora simultáneamente en el tubo de cámara y el tubo de

imagen por medio de un pincel electrónico (haz de electrones) siguiendo una serie

de líneas horizontales adyacentes, la cantidad y la finura de los detalles

reproducidos están limitadas por el número de líneas en que el proceso de

exploración divide a la imagen. Terminada una exploración, debe repetirse el

proceso la cantidad necesaria de veces por segundo para dar el efecto de

continuidad de los movimientos. La frecuencia de la exploración completa de la

imagen es la frecuencia de cuadro y es de 30 en el sistema americano (25 en el

sistema europeo) veces por segundo.

Para mantener valores concernientes al ancho de banda de video se debe

conservar tan baja como sea posible la frecuencia de cuadro, por lo que se corre

peligro de que aparezca el ya conocido parpadeo (flicker), entonces se aplica la

exploración entrelazada, dicha exploración se cumple saltando una línea de cada

dos durante el período de campo, completando las líneas antes saltadas en un

segundo período de campo. Para el ojo, en lo que concierne al efecto de

parpadeo, esto es equivalente a una doble exploración completa de la imagen, a

pesar de que en cada dos períodos de campo cada elemento de imagen se explora

una sola vez. La frecuencia de iluminación o de parpadeo es entonces el doble

de la que daría la exploración consecutiva de todas las líneas y es conocida como

frecuencia de campo.

Para tener imágenes claras es necesario que el número de líneas por cuadro,

el orden de exploración de las líneas y el número de cuadros por segundo sean

exactamente los mismos en el receptor y el transmisor, lo que se consigue si los

mismos impulsos de sincronismo se aplican simultáneamente a los circuitos de

barrido del tubo de la cámara en el estudio y a los del tubo de imagen en el

receptor, de modo que ambos haces exploradores, se encuentren en las mismas

posiciones relativas, instante por instante.

- 2 -

Page 14: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.1.1 POLARIZACIÓN DE LA TRANSMISIÓN

Los transmisores de televisión americanos utilizan modulación negativa, la

cual consiste en que cuando el punto explorador de la cámara pasa por un

elemento de imagen oscuro a otro claro hay una reducción de potencia irradiada,

esto se hace porque se ha comprobado que la modulación negativa es menos

vulnerable para los efectos de ciertos tipos de interferencia.

En la modulación negativa, las porciones blancas de la imagen corresponden

a las más bajas potencias irradiadas, ya que a medida que se pasa a las porciones

más oscuras, aumenta la potencia irradiada hasta alcanzar un nivel correspondiente

al negro que se llama nivel de negro. El nivel del negro coincide con la total falta

de iluminación de la imagen.

1.1.2 AMPLITUD DE LA SEÑAL DEDICADA AL SINCRONISMO

Es axiomático para la televisión que el sincronismo de ]a imagen se conserve

aún cuando la señal de video sea demasiada pobre para producir imágenes

aprovechables, esto es porque una señal que no es capaz de conservar el

sincronismo es perfectamente inútil cualquiera que sea su nivel. Por consiguiente,

viene a ser necesario reservar una porción considerable de la amplitud disponible

de señal para dar lugar a las señales de sincronismo, estas señales han de tener una

porción tal que no ha de ser menor que el 25% de la amplitud total.

1.13 TRANSMISIÓN DEL NIVEL DE NEGRO

El negro de las imágenes corresponde a un nivel de modulación definido

independientemente de la iluminación total de aquellas, se fija este nivel en el 75%

de la amplitud máxima de la señal y se la conserva constante durante la transmisión

- 3 -

Page 15: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.1.4 REVISIÓN DEL PROCESO DE EXPLORACIÓN

La señal compuesta por los impulsos eléctricos de salida de la cámara y los

pulsos de sincronismo horizontal, vertical y de borrado se llama "Señal Normal de

Televisión". Para analizar e] proceso de exploración es necesario que el punto

explorador, arranque en la esquina superior de la pantalla, se mueva con velocidad

constante de izquierda a derecha, efecto para el cual se aplica al sistema deflector

una tensión o una corriente de diente de sierra según se trate de tubos con placas

o con bobinas deflectoras. A medida que el punto se mueve de izquierda a

derecha a través de la pantalla, su intensidad luminosa varía de acuerdo con los

impulsos de imagen de la señal de video, aplicada a ]a reja de control del tubo,

reproduciendo así la iluminación de una línea de Ja imagen transmitida.

Alcanzado el borde derecho de la pantalla, la reja de control del tubo se

lleva al corte y el punto se extingue o se borra por un breve intervalo durante el

cual se la obliga a retornar al borde izquierdo, donde se encontrará en situación

de iniciar otro recorrido de izquierda a derecha. La extinción del haz al que nos

referimos se llama borrado horizontal o de línea, y es obra de los pulsos de

borrados horizontal o de borrado de línea.

Se elimina entonces, en el momento oportuno, la polarización de corte de

la reja cuando ya el punto ha comenzado a trazar la segunda línea. Este proceso

se repite una y otra vez, desplazándose los trazados horizontales consecutivos hacia

e] borde inferior del tubo por acción de otra onda de diente de sierra, de tensión

o de corriente, según el caso, y de frecuencia mucho menor que la primera. Así,

cada línea resulta un poco debajo de la anterior cubriendo entre todas la extensión

total de la pantalla.

Después de trazados de acuerdo con este proceso un número conveniente

de líneas (un campo completo), una vez mas se polariza la reja de control al corte,

ahora durante el tiempo suficiente para llevar el haz nuevamente ai borde superior

- 4 -

Page 16: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

de la pantalla, con lo cual se inicia la exploración de un segundo campo, éste

período es de borrado vertical o de campo. La polarización de corte desaparece

y el punto inicia el segundo campo, el que con el primero completa un cuadro de

exploración entrelazada.

Puesto en un cuadro completo tiene 525 (625) líneas y que aparecen 30 (25)

cuadros completos por segundo, el número total de líneas que se trazan cada

segundo es de 525x30 - 15750 (625x25 = 15625).

En otros términos, Ja frecuencia del generador de diente de sierra horizontal

en el receptor debe ser de 15750 (15625) ciclos por segundo, lo que implica un

período de aproximadamente 63.5 microsegundos (64 ¿¿s). Por otra parte, con la

exploración entrelazada la pantalla es parcialmente explorada desde el borde

superior al inferior dos veces por cada cuadro, y como hay 30 (25) cuadros por

segundo, el haz explorador debe moverse de arriba abajo 2x30= 60 (2x25 = 50)

veces por segundo.

Por lo tanto, la frecuencia del generador de diente de sierra vertical del

receptor debe ser de 60 (50) ciclos por segundo. De esto modo, el generador

horizontal produce 262,5 (312,5) ciclos completos en el tiempo que el generador

vertical necesita para completar un único ciclo.

Es necesario tener algún medio para controlar las frecuencias de tales

osciladores de modo de fijarlos respectivamente en 15750 (15625) y 60 (50) ciclos

por segundo además de mantener el sincronismo entre el emisor y el receptor de

la señal de video. Este es el papel que desempeñan los pulsos de sincronismo

incluidos en la señal de video, los cuales determinan la iniciación de los períodos

de retrazado, tanto en la cámara como en el tubo de imagen a cada ciclo de las

ondas de diente de sierra, y en el momento oportuno para conservar en correspon-

dencia los movimientos de ambos haces exploradores.

- 5 -

Page 17: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.1.5 DETALLES DE LA SEÑAL COMPUESTA DE VIDEO

En la fig. 1.1 parte A se ilustra la señal de imagen que corresponde a la

exploración de una región negra de la escena y se llama nivel de negro, este nivel

sirve de referencia para todos los otros valores de la iluminación.

Se nota que a medida que el brillo de la escena aumenta, Ja amplitud de la

señal aumenta, pero en dirección negativa, hasta que alcance su máximo cuando

la escena es completamente blanca, aunque el nivel de negro se representa como

cero, se lo hace corresponder en la onda modulada al 75% de la amplitud de la

portadora.

NIVEL DE NEGRO

NEG'RO

BLANCO _,NIVEL DE BLANCO

BORRADO YRETRAZADO

PORCIÓN •-VISIBLE-*- PULSO DE SINC. HORIZ.

NP/FIQX—--

FIG 1.1 Señal compuesta de video

Además de la señal que transporta la información de iluminación de la

imagen, la señal de video compuesta incluye los pulsos de sincronismo dispuestos

en el tiempo de modo que coincidan con la terminación de cada línea.

- 6 -

Page 18: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Los impulsos horizontales marcan la iniciación del retorno del haz

explorador o el retrazado. Los impulsos, en cuanto a la amplitud de la señal,

comienzan en el nivel de negro y se elevan hasta un 25% por encima de la señal

de video que modula la portadora. Esto se muestra en la fig 1.1 parte B la que

representa dos líneas sucesivas de una escena, combinando la señal de video con

los pulsos de sincronismo horizontal.

Los pulsos de sincronismo no comienzan exactamente en el instante en el

que termina la señal de video transmitida por cada línea, sino que se hallan, por

decir así, sobre un pedestal, el que constituye el pulso de borrado horizontal. El

pulso de sincronismo, o mejor dicho, su frente delantero, deja sobre el de borrado

una especie de escalón llamado pórtico frontal (front porch) y que sirve como un

elemento de separación entre la señal de imagen y el pulso de sincronismo. El

objeto de] pórtico es de evitar que el carácter de la señal de video (que sea negra

o blanca) al final de la línea influya sobre el proceso de sincronismo.

Con el pórtico frontal (punto 4 y 6 de la fig 1.1 parte B), no interesa de que

nivel arranca el pulso de borrado puesto que el pulso de sincronismo se produce

siempre en el mismo instante del ciclo. Al término del pulso de sincronismo

horizontal hay otro intervalo de nivel de negro, el que se llama pórtico posterior

(Back porch). El propósito de éste es el de mantener extinto el punto explorador

hasta tanto haya tenido tiempo de alcanzar el borde izquierdo de la pantalla,

momento en que se remueve la señal de borrado. La duración del pórtico

delantero es de 0,02 H (siendo H la duración de un ciclo del barrido horizontal =

63.5 }JLS en el caso de 525 líneas, 30 cuadros), es decir, aproximadamente 1.27 ¿ts;

la duración del pórtico posterior es mucho mayor, 0.06 H, equivalente a unos 3.8

¿¿s. La máxima duración del pulso de sincronismo, desde el frente al contrafrente,

es de unos 5.08 /xs (0.08H).

La fig. 1.2 representa la señal normal de televisión según ha sido establecido

por la Asociación de Fabricantes de Radio (RMA) de E.U. Los diagramas A y B

- 7 -

Page 19: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

representa porciones de un mismo cuadro y muestran las señales de video, borrado

y sincronismo para regiones, respectivamente, de los dos campos sucesivos del

cuadro. Nótese que el nivel de negro divide a la señal en dos partes; las

amplitudes que quedan por debajo de este nivel, representan las señales de imagen,

tal como se ve a la izquierda de A, mientras que las que están por arriba

constituyen las señales de sincronismo.

El nivel de negro se fija en un valor que corresponde aproximadamente al

75% de la amplitud máxima de la señal compuesta y se mantiene constante durante

la transmisión, el 25% restante de la amplitud posible queda dedicado para el

sincronismo, porque todas las señales sincronizadoras se encuentran arriba del nivel

de negro, es decir, en la "región más negra que negra", ellas no pueden causar

iluminación en la pantalla. El nivel de blanco se ha fijado en el 15%, como

máximo, de la amplitud de la señal transmitida.

A la izquierda del diagrama A, fig 1.2, representa la información de imagen

que rinde la exploración de 4 líneas de imagen, junto con las señales de borrado

y de sincronismo transmitidas al término de cada línea. Las formas de la señal de

imagen dibujadas corresponde a una imagen blanca en el borde izquierdo, que va

ennegreciéndose hasta hacerse completamente negra más o menos en el centro y

vuelve a aclararse para volver al blanco en el borde derecho.

La información de imagen se interrumpe por breves períodos en los finales

de las líneas, durante tales períodos se transmiten los pulso de sincronismo horizon-

tal, los que desatan la porción de retrazado o retomo del barrido horizontal en el

receptor y controlan así el retorno del punto explorador al borde izquierdo de la

pantalla en el tubo, y puesto que la señal se encuentra durante este período en la

"región ultranegra o mas negra que negra", el retorno del punto no deja rastro

visible en la pantalla.

Page 20: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

SIN

C

A

IMA

GE

N Y

BO

RR

AD

O

NIV

EL

D

EB

LA

NC

O

n ji-3H

-3H

W1HW

IQ Q

E RISO

S I

MERM

O CE

FUSO

S I

«BW

Ifl D

E PUL

&S

L

H

¡ H

-O.S

H0.5

H |

D,5

H

^jupu

iíinríi

fintip

umfh

í !

>"'

H--

H-(

-H—

pH

—p

H—

)-H

-l-H

-|-

H—

(-H H

'5 I'

Tl=0.

100%

75%

0%

PO

RT

AD

OR

A

|

U H

-^

'C

ER

O

IMA

GE

N

[ ]

I0.

84H

BO

RR

AD

O

'H

OR

IZO

NT

AL "

*t0.1

6H

COmZ

AENE

LBOR

DESL

ÍBím

n ji

~3

:5H

O.S

H

*H

, -

PO

RT

AD

OR

A C

ER

O

H=,%

anque

de 1 lin

ea al a

íranque

de la

sigúe

le =63

,8ps

V=toa

íique

de un

campo

al atran

que de

l sígni

enls=l

&G&o

Page 21: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Esto se puede apreciar en la vista C de la fíg 1.1, la cual muestra el

movimiento del punto a través de la pantalla para las dos líneas de la vista B.

Empezando por (1) en la vista C, el punto es extinguido por el pulso de borrado

(1) de la parte B y llevado a la izquierda de la pantalla, describiendo así el

retrazado horizontal, en un movimiento que es desatado por el pulso de

sincronismo horizontal en (2). La línea de guiones en la figura en la vista C

muestra esta trayectoria de retomo.

El punto no deja rastro sobre la pantalla porque la señal está en la región

negra o en la ultranegra desde (1) hasta (3), tanto en la vista B como en C. Una

vez que el punto ha alcanzado el borde izquierdo, iniciado el movimiento de

retrazado y ya con su velocidad normal, se remueve la señal de borrado en (3) y

el punto se hace visible a medida que va trazando una línea en la pantalla, la que

se representa con la primera línea llena en la vista C. La brillantez de la línea

trazada por el punto, variará de acuerdo con la señal de imagen, y para el caso

particular que tratamos, comenzará como gris a la izquierda, va tendiendo a blanco,

se ennegrece y alcanza el negro por la mitad del recorrido, se aclara después y

vuelve al blanco ya sobre el borde derecho.

En la siguiente escena se repite el proceso. Se debe observar que el punto

está extinguido siempre durante el retrazado horizontal, tal como lo indican las

líneas de guiones de la vista C, y que también se borran pequeñas porciones de las

líneas tanto en el borde izquierdo como en el derecho, porciones que corresponden

en realidad a la región de trazado del barrido. El borrado del extremo derecho del

trazado es la obra del pórtico frontal, mientras que el del borde izquierdo es acción

de] pórtico posterior.

La porción visible del trazado horizontal, es decir, de (3) a (4) de la fíg. 1.1,

ocupa el 84% aproximadamente del tiempo total tomado por cada ciclo, lo que

representa unos 53.34/¿s. El retrazado y el borrado toman el 16% restante, es

decir, unos 10.16 JJLS.

- 10 -

Page 22: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Regresando a la fíg. 1.2 se nota que el intervalo comprendido entre el frente

de un pulso de sincronismo horizontal y el frente del pulso siguiente de la misma

clase es 1 H, es decir, 63.5 (64) /¿s. Este es el tiempo invertido para desarrollar un

ciclo completo del barrido horizontal. Al completarse la última línea visible de

cada campo, momento indicado en la figura con "comienza el borrado, la imagen

acaba cerca del borde inferior de la pantalla", se transmite una serie de 6 pulsos de

corta duración indicados en la figura como pulsos ecualizadores, después 6 pulsos

anchos llamados pulso verticales y finalmente 6 pulsos ecualizadores más. Durante

la transmisión de estos pulsos la amplitud de la señal se halla por arriba del nivel

de negro, lo que quiere decir que el punto no es visible sobre la pantalla. Se nota

que el intervalo entre un pulso de ecualización y el siguiente es igual a 0.5H, e

igual también al intervalo que separa los frentes de 2 pulsos verticales. Se hace

así con el fin de que los pulsos de ecualización y los verticales sirvan, a parte de su

función específica, para conservar el sincronismo horizontal durante este período

especial. El propósito de los 6 pulsos anchos del grupo de pulsos verticales es el

de desatar la porción de retrazado del oscilador de diente de sierra vertical y, al

mismo tiempo, el retorno consiguiente del punto explorador del borde inferior al

superior de la pantalla.

Para este sólo objeto, se podría utilizar un sólo pulso, muy ancho; pero la

división en 6 pulsos menores, separados los frentes de cada dos alternados por 1H,

hace que uno de cada 2 de ellos actúe simultáneamente como pulso de sincronismo

horizontal. Los pulsos de ecualización o ecualizadores sirven también para dos

propósitos: primero, mantienen el sincronismo horizontal antes y después de los

pulsos verticales, puesto que los frentes de cada dos alternados están separados por

1H. La segunda y más importante razón es para mantener homogéneas las

condiciones que preceden y que siguen a los pulsos verticales de modo de permitir

un entrelazado perfecto. Por eso se usan pulsos más angostos que los horizontales

y separados los consecutivos-0.5 H en lugar de 1 H. Al segundo juego de pulsos

de ecualización le sigue un grupo de pulsos horizontales ordinarios que dan tiempo

al oscilador horizontal de regularizar su marcha antes de eliminar ]a señal de

- 11 -

Page 23: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

borrado en el borde superior de la imagen.

Los pulsos de ecualización, los verticales y los horizontales tienen la misma

amplitud, pero sus duraciones o anchos no son los mismos. La duración del pulso

de sincronismo horizontales es de 5.08 (5,12) /¿s, mientras que la del pulso de

sincronismo vertical es de 27.3 (27,5) /xs. La razón de esta diferencia de duración

es la de facilitar la separación de las dos clases de pulsos en el receptor por la

distinción entre las formas de ondas. Los pulsos de ecualización tienen la mitad

de duración de los de sincronismo horizontal, es decir, 2.54 ¿¿s.

El período de borrado vertical se ve que ocupa entre 0.05 V y 0.08 V (V

es el intervalo que transcurre entre el arranque de un campo y el arranque del

siguiente), puesto que hay 60 (50) campos por segundo, V es de 1/60 (1/50) de

segundo, es decir, 16667 (20000) ¿¿s. El resultado de emplear tiempos de borrado

más largos es el de reducir la altura de la imagen, puesto que será mayor el

número de líneas horizontales eliminadas por el borrado.

A causa del entrelazado, el grupo de pulsos de sincronismo vertical ocurre

dos veces por cuadro, dos veces por cada 525 (625) líneas, tal como se indica en

el diagrama A y B de la fíg. 1.2. De acuerdo con esto, el intervalo entre la ini-

ciación de los entrelazados de campos consecutivos equivale a la duración de 525/2

(625/2) líneas, a 262.5 (312.5) H. La fig. 1.2 muestra sólo la porción de la señal

vecina a la región de borrado vertical para dos campos sucesivos, o lo qué-es lo

mismo, para un cuadro, debe tenerse presente que continúa la onda representada

en el lado derecho del diagrama A por un tiempo equivalente a 262.5 H desde la

iniciación del retrazado vertical en A hasta la iniciación del retrazado vertical en

B (la figura muestra sólo algunas líneas anteriores a este momento en B). Después

de otro intervalo de 262.5 (312.5) H a partir del retrazado vertical de B, se habrán

completado dos campos, y el segundo cuadro arrancará en el mismo punto que el

primero, es decir, en el momento de la iniciación del retrazado vertical en A.

- 12 -

Page 24: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Si se observa el diagrama A de la fíg. 1.2, se advierte que coinciden en el

primer campo la iniciación del retrazado vertical y la del retrazado horizontal en

el instante TI = OH (se producen en el mismo momento), puesto que el frente del

primer pulso del grupo de pulsos verticales ocurre exactamente 4H después del

último pulso regular de sincronismo horizontal. Por el contrario, en el campo

asociado con el diagrama B, las iniciaciones de los retrazados vertical y horizontal

no son coincidentes, puesto que el frente del primer pulso de] grupo de pulsos

verticales ocurre sólo a 3.5 H del último pulso horizontal regular. El oscilador

horizontal no puede ser disparado por un pulso que se presenta con un intervalo

de 0.5 H a partir del último que produjo el disparo; por consiguiente, el retrazado

vertical de este campo ocurre 0.5 H después de iniciarse el retrazado horizontal de

su última línea.

En otros términos, la iniciación del retrazado vertical ocurre, en el primer

campo, al terminar el retrazado de la línea horizontal mientras que la iniciación del

retrazado vertical del segundo campo ocurre precisamente en la mitad del

retrazado de una línea horizontal. Es esta importante diferencia de media línea,

o de 32 /is, entre el disparo del oscilador vertical y el del oscilador horizontal para

un campo y el disparo simultáneo de ambos osciladores para el otro campo lo que

da las condiciones requeridas para la exploración entrelazada.

1.1.6 DETALLES DE LA EXPLORACIÓN ENTRELAZADA

En la fig 1.3 se observa la relación que existe entre el barrido horizontal y

vertical para los campos de un cuadro. Se ha supuesto formas de onda de diente

de sierra ideales para los dos barridos, es decir, se han supuesto nulos los tiempos

de retrazado, así por ejemplo cuando el barrido horizontal alcanza el borde

derecho de la pantalla, saltará instantáneamente al borde izquierdo y cuando el

vertical alcanza el borde inferior saltará al bordo superior inmediatamente. El

retrazado vertical, se disparará al producirse el frente del primer pulso vertical de

- 13 -

Page 25: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

cada campo, que en realidad no es así pero esta consideración sirve para simplificar

la explicación.

11

L ? B ? . 5 H .

^ H - ^

4

* -JCT C U 'M« ¿b¿,b H .j

ARRANQUEÜEL Ur. CAMPO2B2.5H

I ARRAMqUE DEL 2do. CAMPO

BDRGE SUPERIORDE LA PANTALLA

BORDE INFERIOR IKlArWTAUAJ BOROESUpER|OR

(O) N. DE LA PANTALLA

BORDE INFERIORGE U PAWTAI.LA

FIG. 13 Barrido horizontal y Vertical

En el instante TI = O H, en este momento coinciden los dos entrelazados,

puesto que el primer pulso vertical se produce a 4 H (ver fig. 1.2) del último pulso

horizontal regular y su frente dispara a la vez ambos osciladores, el horizontal y el

vertical. Se lleva así al punto explorador a la esquina superior izquierda de la pan-

talla, donde puede iniciar el trazado de la primera línea del primer campo. El

movimiento figurado del punto a través de la pantalla se muestra en la fig. 1.4, la

que está correlacionada con la fig. 1.3. El punto A de la fig 1.4, corresponde al

punto A de la fig. 1.3, etc.

Bajo la acción de la porción de trazado del barrido horizontal, el punto se

mueve de izquierda a derecha a través de la pantalla, hasta alcanzar (después del

tiempo 1 H) el punto B, sobre el margen derecho, donde se inicia el retrazado

horizontal. El punto salta directamente de la posición B a la C (por la suposición

considerada) del margen izquierdo y puede comenzar el trazado de una nueva

línea. A partir de C, la acción de trazado del barrido horizontal lleva otra vez el

- 14 -

Page 26: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

punto de izquierda a derecha, tratándose la segunda línea. El ciclo se repite hasta

alcanzar el borde inferior de la pantalla, en la posición D, se habrán trazado

exactamente 262.5 (312.5) líneas, como se indica en la fíg. 1.3.

1 H

IARRANQUE DELPRIMER CAMPO

T1= OH _

TI

— 0.5 H

262,5H

ARRANQUE DEL SE-GUNDO CAMPO.

FIN DEL PRIMER CAMPO T1 - 2G2.5H

ARRANQUE DEL RETRASADO PARA

EL SEGUNDO CAMPO

FIN DEL SEGUNDO CAMPO

Ti * 5Z5H. ARRANQUE DELRETRAZADQ PARA EL PRJ-

MER CAMPO

FIG. 1.4 El "ráster" en el tubo de imagen

Según la señal normal de la fig. 1.2, se notará que transcurrido el tiempo

262.5 (312.5) H, se ha alcanzado el instante TI+262.5 (Tl+312.5), ene] que ocurre

el frente del primer pulso vertical del diagrama B, con el cual se inicia el retrazado

vertical, y por lo tanto, el segundo campo. Como se nota en la fíg. 1.4 el punto

salta inmediatamente (porque se asumió nulo el tiempo de retrazado) del borde

inferior de la pantalla, posición D, al borde superior de la misma, posición E.

Dado que el pulso de sincronismo vertical para este campo ocurre en la

mitad de la última línea horizontal del primer campo, ese pulso no estará en

condiciones de provocar el retrazado horizontal, y al alcanzar el punto explorador

el borde superior de la pantalla se encontrará a la mitad del trazado horizontal

(posición E), listo para trazar la primera línea horizontal (en realidad media línea)

- 15 -

Page 27: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

del segundo campo. A medida de que se describe el movimiento horizontal

correspondiente a la línea así partida, el punto se mueve hacia la derecha de la

pantalla, al mismo tiempo la acción del barrido vertical tira el punto hacia abajo,

de modo que finalmente se alcanza el punto F3 fig. 1.4.

Se llenan así las condiciones para el entrelazado perfecto, puesto que el

punto F se hallará a mitad altura entre B y A. Las primeras líneas de ambos

campos comienzan sobre el borde superior de la pantalla, pero como la duración

de la primera línea del segundo campo es de solo la mitad de la de! primer campo,

es evidente que la acción del barrido vertical hará descender el punto dos veces

más para la primera línea del primer campo que para la primera línea del segundo

campo. Se nota en la fig. 1.4 que todas las líneas del segundo campo (en líneas

entrecortadas) se concentran entre las del primer campo, quedando todas ellas

paralelas y equidistantes unas a otras. Al terminar la última línea del segundo

campo, en G, fig. 1.3, se habrán trazado 525 (625) líneas y completado un cuadro.

En la posición G de la fig 1.4, el ciclo completo se repite, pero coinciden

nuevamente el retrazado vertical con el horizontal, el punto salta a Ja posición A,

listo para iniciar la primera línea del primer campo de un segundo cuadro. El

proceso se repite indefinidamente a razón de 60 campos por segundo o de 30

cuadros por segundo.

Se supuso para la explicación ondas dientes de sierra ideales, lo que en la

práctica no se consiguen y se invierte cierto tiempo en el retrazado. En lo que

concierne al barrido horizontal, el único efecto de esta pérdida de tiempo es el de

reducir el tiempo de trazado (fig. 1.5), el trazado cubrirá desde A hasta B si no se

invirtiera tiempo en el retrazado, pero cubrirá desde A hasta C, si se necesitara un

15% del periodo de barrido para el retrazado, es decir, para llevar nuevamente el

punto al borde izquierdo de la pantalla. El espaciado entre líneas será el mismo

en ambos casos como se nota en la fig. 1.5 y por lo tanto el tiempo de retrazado

no tendrá influencia en el entrelazado.

- 16-

Page 28: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Durante el tiempo de retrazado, el punto se extingue y el movimiento de

derecha a izquierda no es visible para la pantalla. El efecto del tiempo de

retrazado en el barrido vertical consiste en reducir la porción de trazado del

número de líneas de 262.5 (312.5) entre el borde superior e inferior de la pantalla

en unas 12 (15) líneas durante el retrazado vertical, por tanto se reduce así el

número de líneas horizontales útiles o activas.

Espaciadosiguales

Tiempo de retrasado nulo

. 1 H -

0,85 H-Tiempo de retrazado= 1 5 % d e H

iC

FIG. 1.5 Trazado Horizontal

Ancho perdidopor el tiempodeTettazadc

1.1.7 DETALLES DE LOS BORRADOS DE LINEAS Y DE CAMPOS

Anteriormente se supuso tiempos de borrado nulo, pero en 3a práctica, la

extinción del punto durante el retrazado horizontal insume un 16% del ciclo de

barrido horizontal a esto se llama borrado de línea u horizontal. Ala extinción del

punto mientras se mueve de abajo hacia arriba de la pantalla se llama borrado de

campo o vertical y consume del 5 al 8% del ciclo de barrido vertical.

La fig. 1.6 ilustras las relaciones que existen entre los barridos vertical y

horizontal, y los borrados de línea y de campo. Empezando por A, el punto se

extingue, por acción de] borrado de campo, un poco antes de iniciarse el retrazado

- 17 -

Page 29: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

vertical; este último comienza en el instante TI = O H. En el momento de

iniciarse el retrazado vertical, el punto se halla sobre el borde inferior de la

pantalla, y durante el período de retrazado seguirá un movimiento de ida y vuelta

a través de la pantalla al mismo tiempo que asciende hacia el borde superior.

u- UN CUADRO COMPLETO52EH

FIG. 1.6 Barridos y borrados en un cuadro

Si no se extinguiera el punto durante este período, las líneas de retorno

serán visibles e interferirán con las líneas activas que ocurren durante el período

de retrazado del barrido vertical. De ahí la necesidad de la señal de borrado

durante el período de retrazado vertical. Al terminar el retrazado vertical, el

punto se halla sobre el borde superior de la pantalla, listo para trazar líneas activas;

sin embargo, no se remueve el borrado vertical precisamente en este instante, sino

que se lo deja actuar durante cierto tiempo más y se lo e l imina en la posición B de

la fig. 1.6, de modo que el punto tenga tiempo de volver a tomar su velocidad

normal de desplazamiento hacia abajo y se elimine toda posible no linealidad al

comienzo del trazado vertical.

- 18 -

Page 30: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

La duración del período de borrado de campo es de 0.05 V como mínimo

a 0.08 V como máximo. Para la duración usual de este período (0.07 V) habrán

sido descritos 18.4 (21.8) ciclos horizontales, es decir, se han borrado 18.4 (21.8)

líneas durante el período de borrado de campo.

Cuando el punto está en Ja posición B3 e] punto se encontrará moviéndose

a la derecha cerca del borde superior de la pantalla y permanecerá visible hasta

que se aproxime al borde derecho de la misma para luego extinguirse nuevamente,

esta vez por una señal de borrado de línea que se presenta justo antes de iniciarse

el retrazado horizontal y no se Ja remueve sino hasta que el punto ha alcanzado su

velocidad horizontal normal en su movimiento de izquierda a derecha a través de

la pantalla, para trazar otra línea visible del primer campo, note que la duración

del retrazado y del borrado horizontal consumen más o menos 16% del ciclo de

barrido horizontal, y es visible durante el 84% del mismo.

Esta acción continúa, describiendo líneas horizontales visibles de izquierda

a derecha y se extingue un poco antes y hasta un poco después de cada período de

retrazado horizontal, se recorren así unas 244 (290) Jíneas. El punto se encontrará

ahora cerca del borde inferior de la pantalla debido a la acción del barrido vertical

que lo tira hacia abajo; la señal de borrado vertical se extingue una vez más, algo

antes de comenzar el retrazado vertical para el próximo campo. Completado el

retrazado vertical para el segundo campo, la señal de borrado de campo desaparece

un poco después, en D, pero, como este instante coincide con el comienzo de un

retrazado horizontal, el punto quedará oculto hasta después de completado dicho

retrazado.

El mismo proceso de exploración se repite para el segundo campo, hasta

que otras 244 (290) líneas hayan sido trazadas sobre Ja pantalla; la señal de borrado

de campo aparece otra vez en E, punto que coincide con el A del principio del

primer campo antes de iniciarse el retrazado vertical para el campo siguiente. Se

han trazado 525 (625) líneas a partir del comienzo de] primer campo en el instante

- 19 -

Page 31: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

TI — OH, completándose un cuadro. Aunque cada cuadro consiste en 525 (625)

líneas sólo unas 488 (580) son visibles en la pantalla del tubo de imagen debido a

que alrededor de 37 (45) líneas han sido borradas durante los períodos de borrado

de campo que se presentan para cada cuadro.

Las líneas que no han sido borradas se llaman activas, porque son ellas las

que delinean la imagen sobre la pantalla. Las líneas borradas se llaman inactivas,

porque cuando el punto se mueve sobre la pantalla, ellas no son visibles a causa de

la señal de borrado vertical aplicada.

El movimiento del punto sobre la pantalla, junto con el efecto de los

borrados de líneas y de campo, se representa en la fig 1.7. Las rayas llenas re-

presentan el movimiento del punto a través de la pantalla de izquierda a derecha

y de arriba hacia abajo para el primer campo. Las rayas de guiones, el mismo

movimiento para el segundo campo.

Se nota en el gráfico, que el borrado de campo comienza unas pocas líneas

antes de comenzar el retrazado vertical para cualquiera de los dos campos. El

arranque del retrazado vertical coincide con el borde inferior mismo de la pantalla

y termina exactamente en el borde superior, pero el borrado vertical perdura

durante unas cuantas líneas. Con un período de borrado de 7%, aparecen unas 488

(580) líneas visibles o activas entre los dos márgenes producidos por el borrado.

La porción sombreada a la derecha de la pantalla representa el borrado

horizontal o de línea; el que comienza un poco antes de que el punto alcance el

borde derecho de la pantalla, donde comienza el retrazado horizontal que mueve

el punto de derecha a izquierda (como representa el desdoblamiento derecho de

la figura). El retrazado horizontal termina en el borde izquierdo de la pantalla,

pero la señal de borrado persiste durante algún tiempo, como lo muestra el margen

sombreado a la izquierda de la pantalla.

- 20 -

Page 32: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

BORDE IZQUIERDO ,„FINDELRETRAZAODHORIZONTAL I FIN DEL BORRA-

F1W DEL BORRADO

VERTICAL

APHOX.m LINEASY1S1BLES

ARRANQUE DEl

BORRAGÓ VERTICAL

HN DEL RETRAZADOVERTICAL PARA EL

PRIMER CAMPO

BORDE SUPERIOR

BORDE DERECHO,ARRANQUEDELHETRA2AOO HORIZONTAL

ESTA PORCIÓN REPRESENTA LAPORCIÓN OE FIETRAZAGO DEL BA-RRIDO HORIZONTAL QUE ESTA RE-PLEGADA SOGRE LA PANTALLA

7 ':'.•..]•— —'--.V TI.OH, ARRANQUE DELRETRA-

-r.--»•.; . ZADO VERTICAL DEL PRIMERCAMPO.

BORDE INFERIOR

• ~ '. ',-'- '4-"-f¿i''\E SUPERIOR_ — —'I- 1- OU - *

TI • 26Z.5H. ARRANQUE DELRETRAZAOO VERTICAL DELSEGUNDO CAMPO

REPRESENTA LA PORCIÓN DE RETRASADODEL BARRIDO VERTICAL QUE ESTA EN REA-DAD SnQRE LA PANTALLA.

0.5 H

FIG. 1.7 Efectos del horrado en el tamaño de la imagen

El retrazado vertical para el primer campo comienza en la esquina inferior

derecha de la pantalla y si suponemos que en ese mismo momento arranca en ese

punto el retrazado horizontal y que hay un número entero de líneas horizontales

durante el retrazado vertical, entonces el punto se encontrará en la esquina supe-

rior derecha de la pantalla, al finalizar el retrazado vertical. Pero como en ese

momento se desata otro retrazado horizontal, moviendo el punto rápidamente

hacia la izquierda, el punto pasará al borde izquierdo de la pantalla apenas un poco

por abajo del borde superior, listo para trazar la primera línea del primer campo

hacia la derecha y con una ligera pendiente hacia la derecha.

El proceso del retrazado vertical se repetirá para el segundo campo,

iniciando en el medio del borde inferior llegará al punto medio del borde superior,

puesto que el retrazado horizontal arranca 0.5H después de iniciado el retrazado

vertical.

-21 -

Page 33: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.1.8 SISTEMAS DE TELEVISIÓN A COLOR

El primer sistema de televisión a color aceptado para difusión comercial fue

NTSC (National Televisión Systems Committee) en 1954. Posteriormente se

desarrollaron otros sistemas de color tales como el sistema PAL (Phase Alternating

Line) y el SECAM (Sequential Couleour a Memoire) que tienen muchas

similitudes con el NTSC.

Los métodos NTSC, PAL y SECAM que son los principales métodos

utilizados para la transmisión de TV-color, difieren solamente en la modulación de

la subportadora de color, donde el método NTSC, constituye las bases para

implementar variantes en los métodos PAL y SECAM.

U .8.1 National Televisión Systems Commitee (NTSC)

El sistema NTSC separa la información en señales de luminancia y

de crominancia las cuales se transmiten en forma simultánea usando multipl exación

en frecuencia. Este sistema se ha diseñado para ser compatible con el

monocromático, basándose en 525 líneas y 60 campos por segundo con exploración

entrelazada donde su componente de luminancia Y está fonnada por la suma de

los 3 colores primarios (R,G,B) cuantificadas por:

EY - 0,30ER + 0,59EG + 0,11EB

En esta señal está contenida toda la información que un receptor en B/N

necesita para reproducir una imagen. Además se transmiten 2 señales de

diferencia de color que se obtienen restando la luminancia con ER y ED

respectivamentes esto es:

-22 -

Page 34: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ER - EY - 0:70ER - 0,59EG - 0,11EB

EB - EY = 0,89E3 - 0,30ER - 0,59EG

(E0 - EY) se la obtiene en el receptor combinando adecuadamente las 2

señales anteriores, además 2 mezclas son suficientes para tener toda la información

de Jos 3 colores primarios originales.

Por otro lado como la resolución del ojo humano es menor para el color que

para el blanco y negro, y experimentalmente resulta que pueden resolver detalles

mas finos en naranja y cyan que en verde y magenta; aprovechando esto, a Ja

subportadora de crominancia de referencia se lo adelanta 33o en el transmisor,

formándose nuevos ejes llamados I y Q cuantificadas de la siguiente manera:

I = 0,60ER - 0,28EG - 0,32EB

Q = 0,21ER - 0,52EG + 0,31EB

De donde se obtiene que la expresión matemática de la señal de color es:

C = Q*SEN(wt 4-33o) + l*COS(wt + 33o)

siendo: w = 2frrPx

Para obtener la señal de color, a las señales I y Q se modulan en cuadratura

con el fin de utilizar una sola portadora para la transmisión y que en recepción

puedan ser separadas adecuadamente sin que interfiera Ja una con la otra. En e]

triángulo de color, el eje I corresponde al eje en el cual el ojo tiene Ja máxima

resolución de color y el eje Q corresponde a la mínima resolución de color. Para

recuperar la información I y Q en el receptor se necesita generar la misma

frecuencia de subportadora que ha sido suprimida en la transmisión, esta frecuencia

generada en el receptor debe estar sincronizada en fase y en frecuencia con el\r para lo cual se envía una ráfaga de color (burst) durante cada intervalo

de borrado horizontal.

- 23

Page 35: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El ojo humano reacciona fuertemente a las incorrecciones de matiz, la matiz

de la imagen coloreada reproducida por el tubo de imágenes está determinado por

el ángulo de fase de la señal de crominancia referida a la fase del burst. Para

corregir errores de matiz que resultan de errores de fase estáticos en el camino de

transmisión, el receptor de televisión a color NTSC está provisto de un control que

permite a la fase de la portadora de referencia ser ajustada. Sin embargo, este

control de matiz no permite corregir distorsiones de "fase diferencial", este término

de "fase diferencial" significa la diferencia de fase transladas en dos puntos

diferentes de la característica de transferencia de la subportadora de frecuencia.

Esta fase diferencial puede ser reducida solamente por limitaciones del nivel de la

señal de video.

1.1.8.2 Phase Alternating Line (PAL)

En Europa se buscó un estándar que fuera compatible con su sistema

monocromático de 625 líneas y 50 campos por segundo. Las especificaciones

NTSC fueron modificadas para superar el inconveniente que presenta este sistema

en cuanto a la distorsión del color como son las variaciones del matiz que pueda

tener una imagen debido a desfases entre el oscilador local y el generador de

subportadora, ó a problemas en la trayectoria de transmisión.

El método PAL reduce considerablemente los efectos de errores estáticos

y fase diferencial, este sistema es basado en el siguiente concepto: "un error de fase

existente puede ser compensado por un error de fase de polaridad opuesta". La

señal de luminancia en el sistema PAL mantiene la misma expresión que para

NTSC, debido a que el principio para obtenerla es el mismo:

EY = 0,30ER + 0,59 EG + 0,11EB

- 24 -

Page 36: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Mientras que la señal de crominancia está determinada como la resultante

de dos vectores SCV y SCV que se obtienen de la diferencia entre la señal de

luminancia y las señales básicas RG]3.

SCU = 0,492 (B - Y)

SCV = 0,877 (R - Y)

Estas señales son usadas para modular la subportadora de color mediante

2 moduladores balanceados operando en cuadratura de fase, donde las salidas de

los moduladores son sumadas para formar la señal de crominancia que tiene la

siguiente expresión:

C = SCu*SENwt + SCv*COSwt

siendo: w =

Técnicamente el sistema PAL es realizado alternando la fase de una de las

dos componentes de la señal de crominancia, por ejemplo la componente SCV de

línea a línea.

.Si existe un error de fase en el camino de transmisión, alternadamente

salidas positivas y negativas de la fase de la señal de crominancia son -producidas

en el receptor después de eliminar la polaridad inversa de línea a línea en la

componente SCV generada en la transmisión. Retardando la señal de crominancia

una duración de línea (64¿¿s) y la subsecuente suma de las señales retardadas y no

retardadas producen dos errores de fase con polaridad opuesta que coinciden y de

esta manera se cancelan.

Se debería mencionar que este método está basado en la suposición que la

cromaticidad no cambia dentro de dos líneas consecutivamente transmitidas. En

la fig. 1.8 se muestra la compensación de error de fase con el método PAL.

- 25 -

Page 37: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

La suposición de un error de fase ¿¿ afecta la señal de crominancia respecto

al burst en la transmisión. Después de la eliminación de la polaridad inversa SCV

y la suma de las señales de crominancia en dos líneas sucesivas, e] ángulo de fase

de la señal SCrcs resultante es igual al de la señal de crominancia y de esta manera

el matiz es mantenido. Después reduciendo la señal resultante a la mitad de su

amplitud, esta señal muestra sólo desaturaciones despreciables.

Inicio de Iraimtilutón Dwpaéx de IH tmnmiWón de trdor

SCn'

/u,

Después de Invertirlo polaridaden la componenle SCv

Bíjl

Suma dr, señales ítc crnmlnnndnnn lincas ny Mi

\v

SCn U'

FíG. 1.8 Compensación del error de Fase con PAL

Se comprueba que el vector resultante vuelve a formar un ángulo B, como

se tenía inicialmente pero aumentado en su amplitud, con lo que las componentes

SCU y SCV también aumentan variando la,saturación pero con la ventaja de que el

ojo no es tan sensible a una variación de saturación como de matiz.

Una identificación adicional es transmitida con el burst para asegurar una

correcta inversión de fase de la componente SCV en el receptor o de la portadora

de referencia para el detector de sincronismo (R-Y). Para este efecto, el burst es

dividido en dos componentes, una transmitida en 180° y la otra en ± 90° alternan-

do de línea a línea en fase con la SCV inversa. La señal de identificación (bandera

burst) para sincronizar el commutador PAL es derivado del discriminado!" de fase-

burst.

-26 -

Page 38: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En el decodificador PAL, la señal de crominancia no es aplicada

simultáneamente a los dos detectores de sincronismo del decodificador, pues esta

serial primero es dividida en las componentes SCU y SCV para ser colocadas a las

entradas deJ grupo de retardo del decodificador. A la señal de crominancia de la

línea precedente (SCn) y la siguiente (SCn+1) son sumadas a la salida de SCU.

Líneas sucesivas contienen la componente SCV con una alternación de fase de 180°

de esta manera la componente SCV es cancelada cada dos líneas.

1.1.8.3 Sequential Couleour a Memoire (SECAM)

Este sistema fue desarrollado en Francia y entró en funcionamiento

a partir de 1967. El sistema SECAM tiene las mismas bases técnicas que el NTSC

en cuanto a la obtención de las expresiones de luminancia (Y) y color (C), pero

elimina el problema de sensibilidad de fase que en NTSC provoca errores de matiz.

Así como el método PAL, SECAM se basa en la suposición que la información de

color no varía esencialmente de línea a línea o que el ojo humano no percibe

ninguna molestia si la resolución vertical de color es reducida una cierta extensión.

La información de color que necesita ser añadida a la señal monocromática

es transmitida en líneas alternadas, esto se da porque las señales diferencia de

color (R-Y) y (B-Y) que caracterizan la información de color no necesitan ser

transmitidas simultáneamente entonces estas señales se envían por separado en

líneas sucesivas, donde la señal (R-Y) se transmite en la línea n sin la señal (B-Y)

que será transmitida en la siguiente línea (n-f-1) evitando de esta manera, la

posibilidad de crosstalk entre las componentes de color, sin embargo la información

de luminancia es enviada en cada línea. Para transmitir información de color

puede escogerse cualquier tipo de modulación, pero SECAM utiliza modulación

de frecuencia para la subportadora de color, lo cual hace al sistema SECAM

inmune a distorsiones de color a diferencia de los sistemas NTSC y PAL que tiene

distorsiones debido a las variaciones de amplitud.

-27 -

Page 39: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En el receptor, el contenido de una línea de la señal es almacenada para

retardar 64/¿s una línea y procesarla con la señal de la próxima línea. La

abreviatura SECAM se deriva de "séquentiel á mémoire", indica que este es un

sistema secuencia] de color con memoria. Para asegurarse que en el decodifícador

la demodulación de las señales diferencia de color están en sincronismo con el

transmisor, existen pulsos de identificación durante 9 líneas del campo del inténsalo

de borrado vertical que son transmitidas de igual forma que la subportadora de

color modulada.

Ai igual que PAL, SECAM es un sistema 625 líneas y 50 campos por

segundo, cuya señal de luminancia es:

EY = 0,30ER + 0,59 E0 + 0,11EB

Con (R~Y) y (B-Y) se generan las señales diferencia de color Dr y Dh en el

codificador SECAM.

Dr = - 1,902 ( R - Y )

D b = +1,505 (B-Y)

Estas señales pasan a través de filtros de preénfasis, con e] fin de aumentar

la amplitud de la señal de crominancia proporcionalmente a como aumenta su

frecuencia con la finalidad de mejorar la relación señal/ruido. Luego Dr y Db

actúan sobre 2 osciladores para producir modulación de frecuencia, la salida para

la señal de crominancia es obtenida por medio de un conmutador, mediante el cual

se selecciona cada señal línea por línea, este conmutador se encuentra gobernado

por los impulsos de sincronismo de línea. Finalmente la señal actúa sobre un filtro

"sbaping", aumentando su amplitud en función de su desviación, es decir,

mientras mayor sea la desviación de frecuencia mayor amplitud adopta la señal,

consiguiendo una relación señal a ruido mejor.

-28 -

Page 40: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.2

En el intervalo de borrado vertical se tiene varios tipos de señales, de las

cuales predominan las señales de calibración como por ejemplo la Señal de Prueba

del Intervalo Vertical (VITS) y la Señal de Referencia del Intervalo Vertical

(VIRS), señales muy importantes para propósitos de medición. Las otras señales

contienen cierta información; es decir, son señales de transmisión de datos que por

principio caen en la categoría de Teletexto, siendo las más importantes en este

estudio las señales de:

* Closed Caption

* Teletexto propiamente dicho

* Vertical Interval Time Code, y

* Time Stamp

1.2.1 QUE ES TELETEXTO?

La definición dada al teletexto por el CCIR es: "Servicio de difusión de

datos digitales que pueden transmitirse dentro de la estructura de una señal

analógica de televisión".

Lo más relevante del teletexto es la incrustación de datos en un canal de

televisión, bien en el intervalo de borrado vertical (caso habitual), o bien utilizando

todas las líneas activas de una señal de televisión. El teletexto se destina

primordialmente a la visualización de texto o material gráfico en forma

bidimensional, que se reconstruyen en la pantalla del receptor de TV a partir de

los datos transmitidos en forma codificada.

- 29 -

Page 41: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En términos comunes podemos decir que el teletexto es un periódico

transmitido por televisión, aprovechando los huecos o las discontinuidades de la

señal de video. Pero esta aplicación básica no es exclusiva, pues según la

definición dada, cualquier sistema de transmisión digital de datos en un canal de

televisión, debe ser considerado como "teletexto". El caso más característico de

un amplio concepto de teletexto lo constituye e] servicio de Closed Caption,

utilizado normalmente para la traducción de películas o emisiones con destino a

incapacitados auditivamente, y, aunque no muy extendido, el "telesoftware" o envío

de programas de ordenador a través de un canal de TV. Todos estos servicios no

son especialidades de teletexto sino, en sentido estricto, "Teletexto".

1.2.2 CARACTERÍSTICAS DEL TELETEXTO

Puesto que el Teletexto es un sistema de transmisión de datos, su

explicación será basada en un "modelo funcional" que sigue la terminología

adoptada por la ISO. En la figura 1.9 se presenta un modelo estratificado

funcional que describe el sistema de Teletexto. En este modelo se puede

distinguir dos grandes grupos:

- La capa de transmisión, constituidos por las capas 1, 2, 3 y 4

- El servicio de usuario, constituidos por las capas 5, 6 y 7

El análisis se centrará en la aplicación fundamental del Teletexto, esto es,

en la transmisión del mensaje en las líneas de cancelación existentes en e) borrado

vertical de cada trama.

La descripción de este sistema será basado, a manera de ejemplo, del

formato elegido por España (sistema europeo) cuya única diferencia con el

utilizado por el sistema americano radica en el número de bytes enviados por

línea de barrido horizontal.

- 30 -

Page 42: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CAPA DE APLICACIÓN TELETEXTO

CAPA DE PRESENTACIÓN

CAPA SESIÓN

PRÓXIMO PAQUETE DE ENCABEZAMIENTO

ULTIMO PAQUETE DE PAGINA

DATOS DE CONTROLPARA LA DIRECCIÓNDEL USUARIO Y ES-TRUCTURA DE BXTES

PAQUETE DE ENCABEZAMIENTO

CAPA TRANSPORTE

GRUPO DEDATOS

PRÓXIMO PAQUETE DE ENCABEZAMIENTO

PGRí DIRECCIÓN DEPAGINA

PAQUETE DE EHCABEZAMIENTO

CAPA RED

GPA

GPAi DIR. DE GRUPODE PAGINA

PAi DIR DE PAQUETEBLOQUE DE DATOS

CAPA ENLACE

SINCR. DEBXTES

CAPA FÍSICA

O 360 BITS (625)

296 BITS (525)

LINEA DE DATOS

H

FIG 1.9 Estructura estratificada del sistema de Teletexto

- 3 1

Page 43: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En dicho formato se hace coincidir una línea de cancelación de cuadro

(64/¿s) con una línea de texto en la página visualizada. Puesto que se ha adoptado

un formato de 40 caracteres de 8 bits por cada línea de texto en el tiempo útil de

cada línea, se tendrá:

40 x 8 = 320 bits (Sistema Europeo)

32 x 8 = 256 bits (Sistema Americano)

A estos hay que añadir 5 bytes de prefijos dedicados al: sincronismo general,

sincronismo de bytes y direccionamiento de páginas. Por tanto se tendrá:

45 x 8 = 360 bits (Sistema Europeo)

37 x 8 = 296 bits (Sistema Americano)

Cada página comprende de 24 renglones de 40 caracteres cada uno. En una

señal de TV en el sistema de 625 líneas se tiene disponible en el borrado vertical,

líneas de la 6 a la 22 en cada campo, teniendo en cuenta que Jas líneas 17 y 18 de

cada trama están destinadas a medidas de calidad de la señal de video. Del

número de líneas utilizadas para el servicio de teletexto (de entre las 16 posibles

de cada campo) depende la capacidad de información del sistema. El método de

señalización se hace por impulsos binarios NRZ (sin retorno a cero).

CAPA DE ENLACE

Siguiendo el modelo funcional descrito por el CCIR, continúa el segundo

escalón con la capa de enlace, es decir, Ja que comprende las funciones lógicas

relacionadas con la transmisión de datos, tales como las técnicas digitales de

sincronismo de trama, formación de datos y procedimientos de controj de errores.

-32 -

Page 44: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

La estructura de los datos del teletexto a lo largo de la línea de televisión

consta de 45 bytes de 8 bits de los cuales los 5 primeros forman el prefijo y se

destinan al sincronismo de reloj, de byte, de dirección amiento de grupo de página

y paquete que se detallan en Ja fig 1.10. Es claro que en toda transmisión de

datos se necesita sincronizar adecuadamente el receptor con el transmisor con el

objeto de asegurar una correcta recepción del mensaje. Este sincronismo se

realiza en dos niveles: de bits y de bytes. El sincronismo de reloj (o sincronismo

de bits) se realiza mediante los dos primeros bytes constituidos por 10101010 con

paridad par. El tercer byte (sincronismo de bytes) está formado por 11100100

(E4H) con paridad par.

UiDEiLDIUl Uü

PAGINA(RENGLÓN 0)

RELOJ RELOJ SINC DEBYTE

iDIRECCIONAHIENTO DERENGLÓN Y GR. DE PAG

i

8 BYTES DEINFORMACIÓN

INICIO DE CABE-CERA DE PAGINA

IQlOiOlO 11100100 PH PH PH PH PH PH PH PH

10101010 2° 2' 22 2° 21 22 23 24

/ DE GR. DIRECCIÓN DEDE PAG.

H = Bit de inforaaciónP = Bit de protección

UNIDADES DEL/ DE PAGINA

DECENAS DEL/ DE PAGINA

CODIOG DETIEKPO

CÓDIGO DETIEHPO

CÓDIGO DETIEKPO

CÓDIGO DETIEHPO

GRUPO ADE CTRL

GRUPO BDE CTRL

2° 21 22 23 2° 21 22 23 2° 21 22 23 2° 21 t 2° 21 22 23 2° 21 C5 C,•5 L6

(RENGLÓN 1)

(RENGLÓN 2)etc.

RELOJ RELOJ SINC DEBYTE

1DIRECCIONAHIENTO DERENGLÓN Y GR. DE PAG

1

DATOS

RELOJ RELOJ SINC DEBYTE

1DIRECCIONAHIENTO DERENGLÓN Y GR. DE PAG

i

DATOS

FIG. 1.10 Código de sincroní/ción

- 33 -

Page 45: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

siendo:

C5 noticias Relámpago C¿ Subtitulación C? Cabecera suprimidaCg Actualización Cg Secuencia Interrumpida C,0 Inhibición de visualizacióne,. Grupo de páginas Ci2'ci3 Selección del Jue9°

en serie C,« básico de caracteres

El resto de los bytes se transmite con paridad impar, lo cual permite

detectar errores simples. Los bytes 4 y 5, direccionamiento de agrupamiento de

página y paquete, se transmiten con un código de Hamming de distancia 3, es decir

4 bits de redundancia, lo que permite corregir un error y detectar un número par

de errores. Aunque común a otras capas funcionales, lo siguiente es una visión

general de la formatización de los paquetes de datos.

El fonnato de numeración de los paquetes de datos responde a X/Y; siendo

X el número de agrupación de página e Y el de renglón. Los renglones

visualizados corresponden a paquetes de ciatos de numeración X/0 a X/24, que

permiten la transmisión de los juegos de caracteres normalizados alfanuméricos y

gráficos.

El paquete X/0 cubre la línea de datos de encabezamiento de página que

contiene 24 bytes de información general (como títulos de programas de teletexto,

fecha del día, etc.) y un byte normalmente dedicado a transmitir la hora. El resto

se emplea en funciones no visualizadas.

El paquete X/24 que puede ser o no visualizado, se emplea frecuentemente

para facilitar al usuario el acceso a páginas encadenadas. En definitiva, el

encadenamiento de páginas permite saltar de una página a otras previamente

relacionadas (por ejemplo, todas las referentes a una misma clase de información:

deportivas, meteorológicas, etc.) sin necesidad de explorar página por página hasta

encontrar la deseada.

-34 -

Page 46: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El paquete X/25 contiene determinadas señales de control y de comandos

utilizados en la edición. El paquete X/26 se utiliza para extensión del repertorio

de caracteres. El paquete X/27 transporta los datos de funciones auxiliares

relacionadas con el texto. Los paquetes X/25, X/26 y X/27 no suelen transmitirse,

puesto que no contiene datos útiles para el usuario.

Por último se transmite aproximadamente una vez por segundo el paquete

8/30 que proporciona datos del servicio de radiodifusión tales como identificación

de emisora, códigos de diferencia horaria, fecha del calendario juliano, etc.

CAPA RED

Es el tercer escalón del diagrama funcional. .Comprende las funciones

lógicas relacionadas con la multiplexión y demultiplexión de paquetes de datos

pertenecientes a diversos flujos de comunicaciones, como por ejemplo, los

direccionamientos de agrupamiento de página y paquete. Se ha visto en la fig. 1.10

que los bytes cuarto y quinto son los utilizados para los direccionamientos de

agrupamiento de página y paquete, lo que tiene lugar en todas las líneas de datos.

El número de agrupamiento de página se obtiene mediante 3 bits de información

y 3 de protección Hamming y la.dirección de renglón utiliza 5 bits de información

y 5 de protección Hamming.

CAPA DE TRANSPORTE

Es el cuarto escalón del diagrama funcional. Como su nombre indica,

realiza la función de disponer los datos de forma adecuada para su transferencia

de un punto a otro, estableciendo la segmentación de ellos en grupos de informa-

ción, entregándolos a capas más bajas para la transmisión al punto distante y de

nuevo reconstituyendo los grupos de información con la disposición en la secuencia

-35 -

Page 47: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

adecuada. Se encuadran en esta capa los bytes del 4 al 13 de los paquetes de

encabezamiento de página.

CAPA DE SESIÓN

Este quinto escalón funcional se refiere al tratamiento de datos con la

finalidad de proporcionar al usuario e] acceso a los servicios y aquí se incluyen el

dirección amiento, la clasificación, el encadenamiento y el almacenamiento de

páginas. El detalle de Jos paquetes será:

PAQUETE X/0

Después de los bytes de sincronismo de reloj y de bytes, existen 8 bytes con

protección Hamming 8/4 que cumplen las siguientes funciones:

Numeración de Página.-

Byte 6.- Unidades de] número de página

Byte 7.- ' Decenas del número de páginas

Subcódigo de página (ó código de tiempo)

Cada página puede tener asignado un código, denominado subcódigo de

página o código de tiempo, codificado en 4 dígitos, dos de horas y dos de minutos.

Este código puede utilizarse para seleccionar una entre muchas páginas, que

tengan el mismo número de grupo de páginas y de página, transmitida en

secuencia. Cuando la transmisión de la página es aislada o infrecuente, este código

puede reflejar literalmente la hora y minuto del reloj a la que es transmitida.

-36 -

Page 48: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Byte 8.- Unidades de minutos de] código de tiempo (4 bits de

información y 4 de protección Hamming) - Puede tomar

valores de O a 9 -.

Byte 9.- Decenas de minutos del código de tiempo (3 bits de informa-

ción y 3 de protección Hamming) - Puede tomar valores de O

a 7 -y el bit de control Q, (más uno de protección Hamming)

dedicado al borrado de página.

Byte 10.- Unidades de horas del código de tiempo (4 bits de informa-

ción y 4 de protección Hamming) - Puede tomar valores de O

a 9 -.

Byte 11.- Decenas de horas del código de tiempo (2 bits de información

y 2 de protección Hamming) - Puede tomar valores de O a 3 -

y los bits de control son:

- C5 (1 de información y uno de protección Hamming) de

noticias relámpago.

- Cfí (1 de información y uno de protección Hamming) de

subtitulación.

BYTE 12.- Los bits de control (1 de información y uno de protección

Hamming).

- C7 Supresión de encabezamiento, en su lugar puede

visualizarse la hora.

- C8 Indicador de actualización. Los datos que la sigan

podrán incluir sólo la parte actualizada de la página.

-37 -

Page 49: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- C9 Secuencia Interrumpida. La página asociada no seguirá

el orden numérico de páginas.

BYTE 13.- Los bits de control (1 de información y uno de protección

Hamming).

- C10 Inhibición de visualización. Los datos no se visualizan

- Cn transmisión de grupos de páginas en serie. Se transmiti-

rán una por una en serie.

Nota.- todos los bits de C4 a Cu serán activos cuando estén

puestos a 1.

" C12, *^13' ^14 Selección del JueS° básico de caracteres.

CAPA DE SESIÓN Y PRESENTACIÓN

Con objeto de lograr un mejor entendimiento de ciertas funciones de la capa

de sesión íntimamente relacionadas con las de la capa de presentación, que es la

que incluye las codificaciones utilizadas para la presentación de textos, imágenes

y sonidos, es conveniente presentar conjuntamente tanto las funciones de

presentación de datos (pertenecientes estrictamente a la capa de presentación)

corno las de tratamiento de éstos para que el usuario pueda acceder a determina-

dos servicios (relativos estrictamente a la capa de sesión).

Una página de teletexto puede contener caracteres alfanuméricos, gráficos

y de control. Los elementos gráficos alfanuméricos comprenden letras de alfabeto,

caracteres silábicos y caracteres idiográfícos con o sin signos diacríticos, figuras,

signos de puntuación y signos especiales. El conjunto constituye el "código

alfanumérico".

-38 -

Page 50: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Para trazar dibujos se emplea una "codificación mosaica" en la que cada

elemento define una parte del diagrama y ocupa una posición de carácter. Caben

dos formas de presentación:

Separada: cada elemento está rodeado por un borde del color del fondo.

Contigua: los elementos lindan con los adyacentes.

Por último existen los "caracteres de control" que definirán determinadas

características de visualización (colores de pnmer plano y de fondo, intermitencia,

enmarcación, altura doble, etc.).

Los caracteres se trasmiten con un código de 8 bits: 7 de información y 1 de

paridad. Este último bit se inserta en la transmisión de modo que se tenga en el

interior del carácter transmitido un número impar de bits "1" y de bits "O",

facilitándose así la recuperación del reloj en e] decodificador. Si el número de

errores fuera par, la protección pierde su eficacia y, en ese caso, se visualizará un

carácter distinto al originalmente transmitido.

Niveles de presentaciones del teletexto

En el ámbito internacional la evolución de las posibilidades del teletexto -

sobre todo en lo que se refiere a la capacidad gráfica- se han clasificado en 5

niveles, cuya síntesis es la siguiente:

NIVEL 1: Tal como se introdujo en 1976 en Gran Bretaña. El repertorio

básico consta de 96 caracteres alfanuméricos y 64 gráficos tipo mosaico. Las

funciones que determinan los atributos visuales se realizan por caracteres de

control, visualizados como espacios.

-39 -

Page 51: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

NIVEL 2: Se amplía el repertorio de caracteres respecto al nivel 1. Los

caracteres gráficos son del tipo mosaico y los de control no ocupan espacios

en pantalla. Puede variarse el color, las dimensiones, etc., en un mismo

carácter.

NIVEL 3: Sobre el nivel 2 se añade la posibilidad de ofrecer caracteres

móviles, definidos en la edición y transmitidos separadamente de la página

afectada. En el receptor estos caracteres se almacenan en una memoria

adicional y se utilizan como repertorio modificable en cada página. En este

caso, el tiempo de espera es mayor que en los niveles 1 y 2.

NIVEL 4: Se amplía nuevamente el repertorio de caracteres, y en la

representación gráfica se utilizan instrucciones geométricas (punto, círculo,

línea, etc.) del tipo usado en los computadores personales.

NIVEL 5: Permite la transmisión de imágenes fijas con resolución televisiva.

La norma definida en España corresponde a una intermedia entre los niveles

1 y 2, puesto que utiliza un repertorio de 128 caracteres, esto es, ampliado respecto

al de 96 del CCIR; aunque sin llegar a las presentaciones del nivel 2. Es muy

recomendable comprobar antes de adquirir un receptor, que su decodificador del

teletexto responda al nivel exigido, pues existe una gran cantidad de ellos

preparados exclusivamente para el nivel 1.

En realidad esta capa es la concreción de las facilidades que pueden ofrecer

las capas inferiores. Es el servicio práctico concreto obtenido de las posibles

informaciones contenidas en e] caudal de datos del teletexto descritas en líneas

anteriores.

- 40 -

Page 52: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.3 ¿QUE SEÑALES ESTÁN DISPONIBLES?

Mientras existe muchas y diferentes formas de Teletexto, en los E.U. los

tipos más comunes encontrados han ido cambiando desde Jos procesos más lentos

a unos más rápido y complicados. Entre los tipos más comunes de Teletexto

utilizados tenemos:

í.3.1 CLOSED CAPTION

Closed Caption es utilizado fundamentalmente para proveer ayuda a

televidentes con problemas auditivos, aunque este sistema fue diseñado como un

sistema completo de Teletexto con 2 canales de caption y de texto. El segundo

cana] de caption fue diseñado para un caption bilingüe que ha ido quedando en

desuso, ahora solamente el canal primario de texto de caption es utilizado. En los

últimas 3 años todas las redes programan noticias, deportes, etc. con caption y con

los últimos adelantos de la electrónica, Jas próximas televisiones tendrán capacidad

de representar este closed caption, gracias a la Televisión Decoder Circuitry Act

(Acta de la Circuitería de Decodificadores de Televisión). El Congreso promulgó

esta ley en 1990 para evitar la necesidad que tienen los consumidores de comprar

decodjficadores caros para ver el caption que están incluid as en la señal de difusión

de televisión. Es así que televisores de 13" o más grandes, a partir de Julio de 1993

podrán decodifícary representar el closed caption.

Los beneficios de esta ley serán muy amplias. Para 23 millones de

americanos con problemas auditivos, el Acta de Circuitería de DecodiScadores de

Televisión les significan muchas facilidades de accesos a captioning en la casa y en

público. El acta de decodificación a forzado a construir televisiones bajo un

estándar definido de closed caption.

- 41 -

Page 53: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Hay 2 tipos básicos de captions -live o taped (en vivo o grabado)-. Taped

Captions son producidos por un proceso de edición anterior a la difusión, una

media hora de programa grabado requiere cerca de 15 horas para crear la caption.

Taped Caption son esencialmente transcripciones del programa con textos coloca-

dos bajo o sobre la persona que habla, ellos tienden a ser representaciones muy

exactas de la palabra hablada. Pero para el Live Caption esto no sucede así.

Para las noticias y eventos deportivos en vivo la acción debe ser creada en

el sitio de] reportaje, a esto se le conoce como caption en tiempo real, que equivale

a que un taquígrafo escucha al locutor y teclea los fonemas de manera similar a lo

expresado por el reportero; un programa en computador evalúa ]a fonética y

produce el texto que es difundido con un retraso de 5 a 10 segundos. El sistema

trabaja notablemente bien, aunque sufre de errores de transcripción que tienen su

razón en la fonética, así por ejemplo "Irán" puede ser transcrito como "I ran".

1.3.1.1 REQUERIMIENTOS DEL CLOSED CAFTION

La Federal Cornmunication Commission (FCC) fue autorizada por

Ja TV Decoder Circuitry Act-para establecer reglas, dictar características y

representar estándares para decodificar caption. La FCC trabajó basada en una

propuesta desarrollada por la Electronics Industries Association (EIA). La EIA,

se refería al conjunto de procedimientos captioning en los años 80 dadas por la

Public Broadcasting Service (PBS) y la National Captioning Instituto (NCI). Para

mantener compatibilidades con decodifícadores existentes, el formato dado por

PBS/NCI para la transmisión de los datos fue dejado intacto, pero las modifi-

caciones fueron hechas en los métodos de despliegue de información para mejorar

captionjng y permitir al fabricante mayor flexibilidad.

Para entender como el dato captioning es transmitido, es mejor iniciar con

una imagen de Video NTSC - el estándar U.S.- representado en la fig. 1.11. La

-42 -

Page 54: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

señal de video contiene información de crominancia (color) y luminancia

(brillantes). La componente básica de la señal de video es la línea de barrido

horizontal que inicia con un pulso de sincronismo horizontal y representa el paso

de una línea horizontal a través de ,1a pantalla. Una serie de 262.5 líneas constituye

un campo, o un paso vertical a través de la pantalla. Los pulsos de sincronismo

vertical están contenidos en el intervalo vertical de borrado (VBI), que aparecen

al inicio de cada campo y tiene una duración de varias líneas horizontales.

CROIvnNANCIA

PULSO DE SltSTC. HOR.

LINEA DE VIDEO NTSC

PULSO DE SINC. VERT-

i-nnnrnr TTinnnr--inr

10 11 12 13 14 2U 21 22 23 262

CAIVIPO DE VIDEO NTSC16,7 M3LISEC. —

. 1.11 Línea de Imagen NTSC

El dato de caption esta en Ja línea 21, que aparece durante el VBI. El

campo 1 fue establecido como la localización para el dato caption y el campo 2 ha

sido recomendado para portar servicios extensos de información que generalmente

no se lo usa. El formato para la línea 21 está representado en la fig. 1.12. Un

pulso de sincronismo horizontal indica una nueva línea seguida por un run-in clock

que provee de un tiempo y amplitud de referencia para el bit de inicio y los ] 6 bits

de datos NRZ que siguen. Cada campo proporciona dos bytes de datos de 7 bits

más ] de paridad. La velocidad de datos para closed caption en un campo es de

60 bytes por segundo, ó 480 bits por segundo

- 43 -

Page 55: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Los bits de datos así transmitidos aparecen ligeramente redondeados y no

cuadrados, esta es una característica de todas las señales de Teletexto, porque una

onda cuadrada tiene un ancho de banda infinito, pero una señal de video tiene un

ancho de banda finito, por lo que el compromiso es enviar bits de datos que

parezcan dé forma de onda cosenoidal.

Todas Jas formas comúnmente usadas de Teletexto hacen uso de un código

de trama que autorice el ingreso de datos y provea de un sitio para parar de leer

el run-in e iniciar la lectura de caracteres de decodificación. En el caso de Closed

Captions, los bits son leídos hasta que sea recibido el código de trama patrón

"OA1H".

PULSO DE SINC.HORIZONTAL

2 CARACTERES ASCII (NRZ)

DE 7 BITS -* PARHXU)

¿.1,4 MICROS EGUtVD OS

F1G. 1.12 Línea Típica de Closed Caption

La clase de reloj para el run-in de los datos Closed Caption tiene que ser

de 1.006976 MHz, pero una vez que el código de trama haya sido enviado, los

datos han de usar la mitad de la frecuencia de reloj es decir 0,503488 MHz, los 16

bits de datos son enviados a esta nueva velocidad, 8 bits para cada uno de los 2

caracteres, enviando primero los bits menos significativo y a] último el bit de

paridad. El truco de la mitad de la frecuencia para el reloj después del código de

trama es sólo para el closed captions y no en Jas otras formas de Teletexto, por

tanto este problema podrá ser superado mediante software utilizando la velocidad

- 44 -

Page 56: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

de reloj mas alta y darse cuenta que los bits de datos "1011..." será almacenada

doblemente en memoria como "11001111...".

La cadena de datos del closed captioned consiste de texto entrelazado con

comandos. Los comandos son 2 secuencias de caracteres contenidos en la misma

línea yBI, que consiste en un carácter no imprimible (un carácter de control)

seguido por un carácter imprimible, la secuencia es repetida de manera que cada

comando se envíe 2 veces en una 61a. Por ejemplo, el comando de fin de caption

es "control-T slash", puesto que cada comando es repetido, la secuencia del

comando completo debería ser recibida como ^T/^T/. Caracteres nulos (paridad

impar 00 o 80 Hex) son enviados cuando no existen comandos o la caption no ha

empezado a transmitirse.

El dato de caption será procesado mientras se reciba; es decir, cada par de

byte representará un doble-byte de código de control o dos bytes sencillos de

caracteres visibles. Para datos de closed caption se cuenta con un grupo de 96

caracteres ASCII visibles y 4 categorías de códigos de control

Los códigos de control utilizados en este tipo de señal son:

Códigos de dirección de preámbulo son usados en el inicio de una fila para

identificar el número de fila (localización en Ja pantalla), una indentación

opcional y los atributos por default (color, itálica y subrayado) para la fila.

El carácter de control y los 2 bits más significativos de] carácter imprimible

codifica el número de fila; mientras que los siguientes 4 bits menos

significativos del carácter imprimible, provee información de color y de

indentación; y el primer bit menos significativo "e" da el atributo de

subrayado si e = 1. Los códigos preamble son:

- 45 -

Page 57: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CARÁCTERDECOHTROL

AQ 00100010010001

AR 00100100010010

AS 00100110010011

AT 0010100

CARÁCTERINFRIÓLE

lOabcdellabcdelOabcdellabcdelOabcdellabcdelOabcde

HUMERO DEFILA

1234121314

Obcd

0000000100100011010001010110

a=0bcdIndent

0000000

COLOR

BlancoVerteAzulCyanRojoAnarilloMagenta

IbcdIndent

04812162024

COLOR

BlancoBlancoBlancoBlancoBlancoBlancoBlanco

llabcde 15 0111 O Iltalics 28 Blanco

En la práctica, el atributo de color es rara vez utilizado, además hay que

notar que el caption es desplegado en las 4 primeras o 4 últimas líneas de

un aparato de televisión.

Caracteres especiales son caracteres no-ASCII visibles tales como notas

musicales, signo de centavos, signo de libra, etc. Se cuenta con 16

caracteres especiales, lo que hace un conjunto total de caracteres imprimi-

bles de 112.

Códigos de media fila o Micl-caption son usados, tal como el nombre lo

dice, en el medio de una fila de caracteres, éstos códigos afectan color,

subrayado e itálicas de las palabras, también proveen de caracteres

especiales como notas musicales de diferente duración del sonido, además

pueden deshabilitar el atributo de brillo. Note que un código de media fila

aparece como una espacie de fondo, limitando el uso de atributos para

enfatizar palabras enteras o frases.

En el siguiente cuadro se indica tanto los códigos mid-raw como los

caracteres especiales.

Page 58: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CarácterDe control

CarácterImprimible Acción

0010001 OlOOOOeOlOOOleOlOOlOeOlOOlleOlOlOOeOlOlOleOlOllOeOlOllle

0 01100001 01100012 01100103 01100114 01101005 01101016 01101107 0110111

BlancoVerdeAzulCyanRojoAmarilloMagentaItalics1/4

/Vz

L3/40 signo de centavosSigno de libra británicoNota Musical

Códigos de control de miscelánea, la mayoría de códigos misceláneos son los

que: inician, continúan o cambian modos o estilos de despliegue. Ellos son

enviados frecuentemente; aún cuando el estilo no sea cambiado, para

asegurarse que cambiando el receptor de canales no se dañe el captioning.

Otros comandos de control de miscelánea pueden modificar el cursor o

contener filas para borrar lo anterior o borrar al final de la fila. El brillo

es el único grupo de atributos para una miscelánea de códigos; esto afecta

a todos los subsecuentes caracteres en una fila hasta el próximo código de

media fila. Los códigos para realizar éstas funciones son:

Carácterde Control

^T 0010100

CarácterImprimible

< > 01000000100010

# 0100011% 0100101& 0100110

01001110101100

Acción

Resumen de CCAlarma OffAlarma On2 filas Modo Roll-up3 filas Modo Roll-up4 filas Modo roJJ-upBorra Display

-47 -

Page 59: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Carácter Ca racterde Control Imprimible Acción

^T 0010100 / 0101111 fin de Caption+ 0101011 Resumen M Txt

0101101 Regreso del carro

La siguiente secuencia de caracteres describe una típica transmisión de

caption:

IS AN EXAMPLE ~ Tt Tt

OF HOW CAPTIONS

Donde ^TV [14 56H] (enviada dos veces como todos los comandos) es un

código preámbulo que significa que se coloque al caption "THIS IS AN EXAMPLE"

en la fila 14 y con color blanco. Similarmente, la cadena de control ^Tt [14 74H]

significa que se coloque a "OF HOW CAPTIONS WORK" en la fila 15, ^T, borra

el caption previo y ^T/ indica fin de caption, por tanto transfiere el caption de

memoria a pantalla. Como se puede observar, los comandos de control vienen por

duplicado,

Se dice que el más lento de los sistema de Teletexto es el de captioning de

la línea 21, comúnmente conocida como "closed caption", puesto que fue el primer

sistema de teletexto usada para transmitir caption para videntes con problemas

auditivos. La lentitud viene de enviar solamente 2 caracteres de 7 bits para el dato

y 1 bit para paridad, estos caracteres se ubican en el campo 1 de la línea 21 de

video, resultando un máximo de 60 caracteres por segundo, es lenta pero es

adecuada para propósitos de transcripción.

' - 48 -

Page 60: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.3.2 REQUERIMIENTOS PARA DECODTFICAC1ON DE TELETEXTO

1.3.2.1 WORLD SYSTEM TELETEXTO

El WST es un servicio de teletexto de mediana complejidad que tiene

su origen en e] sistema Británico ORACLE que ha sido emitido desde 1974 y ha

experimentado varias modificaciones, por lo que es conocido bajo algunos nombres

tales como: UK Teletexto, CEEFAX, ORACLE y el más aceptado World System

Teletexto (WST). Este sistema envían la información en forma de páginas, donde

cada página consiste de 24 líneas por 40 caracteres cada una, de Jos cuales, en la

versión americana se envían solamente 34 caracteres por línea del VBI como

oposición de los 40 en el sistema británico (sistema europeo). A cada página se

le asigna un número de 3 dígitos, de los cuales el dígito de las centenas

corresponde al número de Revista -grupo de páginas- y los otros 2 dígitos restantes

para especificar el número de página.

En la versión americana, el número de revistase representa con dos bits que

permite especificar hasta 4 revistas, donde cada revista representa una especifica-

ción diferente. Por ejemplo, la página 100 podría contener noticias mientras que

la página 200 podría contener una lista de programación, etc.

En la figura 1.13 se muestra el formato de datos de la señal WST. Estos

datos son enviados en formato NRZ (sin retorno a cero), tal como para el Closed

Caption y ambas formas tienen un Reloj y un código de trama. La señal WST

después de] código de trama envía 34 caracteres de 7 bits más 1 de paridad a

diferencia del Closed Caption que envía sólo 2 caracteres. Consecuentemente, la

velocidad de transmisión para éstos caracteres es mucho más rápida que para el

caption, esto es de 5,727272 MHz envés de los 0.503 MHz. Aunque la velociad es

mucho más rápida, los principios básicos de captura y sincronismo utilizados para

velocidades inferiores son válidos.

-49 -

Page 61: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

RELOJ CÓDIGO DETRAMA

CÓDIGO DE LINEAREVISTA Y GEARING

32 BYTES DEDATOS

ÍTG. 1.13 Trama de datos WST

Existen ciertos bytes críticos debido a que éstos son enviados como un

código Hamming, Jos bytes que viene de esta forma son Jos números de Jínea y de

revista. En WST, un byte de código Hamming contiene 4 bits de datos y 4 bits de

protección, arreglados de tal manera que 1 bit erróneo pueda ser corregido y 2 bits

errados sean detectados. En Ja siguiente tabla se provee de una lista de códigos

Hamming utilizados tanto para WST como para la North American Broadcast

Teletexto -NABT- (descrito más adelante).

Formato:

M P M P M P M P

M = bit de mensajeP = bit de protección

CÓDIGO

15

02

49

5E

64

73

38

2F

VALOR

0

1

2

3

4

5

6

7

CÓDIGO

DO

C7

8C

9B

Al

B6

FD

EA

VALOR

8

9

A

B

C

D

E

F

- 50 -

Page 62: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Cada línea en WST contiene principalmente: 16 bits de reloj, un código de

trama (11100100), 2 bytes de códigos Hamming que informan el número de revista

y de línea, de la siguiente manera:

0

I1

1Número deRevista

G

BitGearing

0

1

1 2 :

Número deLínea

i 4

1

(Los bits en blanco son bits deprotección del código Hamming)

Sí el número de línea es O, los 8 primeros bytes de datos son:

unidadde página

decenade página

no usada1

no usada2

no usada3

flisc.control

grupo A decontrol

grupo B decontrol

FTG- 1.14 Datos de la cabecera de página

Un número de línea de O denota una nueva página, con números de líneas

en el rango de O a 23. Si una página contiene líneas en blanco, éstas no son

enviadas puesto que sólo líneas con información son enviadas.

El bit llamado bit Gearing fija la versión Americana de WST de su

contraparte Británica. Debido a las diferencia de tiempo en el sistema de

televisión Británica, ellos pueden enviar todos los 40 caracteres en una sola línea

del VBI. Para hacer los dos sistemas compatibles, se ha introducido el concepto

de la línea gearing. Envés de enviar los 40 caracteres, se envían sólo los 32

primeros caracteres; cada 4 líneas, una línea gearing es transmitida, esta línea

consiste de los 8 últimos caracteres de las 4 línea anteriores. Una línea Gearing

es detectada cuando se haya fijado el bit gearing.

- 5 1 -

Page 63: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Después de la información de número de línea, revista y gearing se tienen

32 caracteres de datos. Si el número de línea es O, indica el inicio de Ja nueva

página, los próximos 8 caracteres son códigos Hamming y contienen el número de

página e información de control como se nota en Ja fig. 1.14. Los últimos 24

caracteres de la Jínea O, también codificados en Hamming, son una cabecera de la

página e información de la hora.

Las líneas de una página son siempre enviadas en orden, pero diferentes

revistas pueden ser intercaladas. A diferencia del Closed Caption. más de una

línea por campo, puede ser usado por cada intervalo vertical de borrado.

Usualmente se envían datos de WST en 4 líneas del VBI, donde las 4 líneas

pueden contener datos de una misma revista o se puede utilizar 2 líneas para una

revista y las otras 2 líneas para una segunda revista.

El grupo de caracteres para WST usa caracteres no imprimibles para control

de color, gráficos de mosaico y efectos especiales tales como modo oculto y líneas

intermitentes. En la decodificación de este sistema, éstos códigos no serán

tomados en cuenta o serán desplegados como espacios en blanco.

1.3.2.2 NORTH AMERICAN BROADCAST TELETEXTO

El más complicado de todos los sistemas de teletexto así como el más

elegante es el American Broadcast Teletexto Specification, o NABTS. El NABTS

tuvo su modelo en el sistema Canadiense Telidon que utiliza caracteres alfa-

geométricos en lugar de los gráficos de mosaico usados por la WST. Los códigos

alfa-geométricos emplean gráficos primitivos tales como líneas, arcos y polígonos

para describir imágenes, estos datos en un futuro serán codificados usando el North

American Presentation Level Protocol Syntax (NAPLPS - mayor información se

halla en el Anexo A -) que es un lenguaje gráfico que describe color, forma e

información de la escala para producir imágenes en dos dimensiones. Los gráficos

- 52 -

Page 64: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

así producidos son muy superiores a los hechos con los caracteres de mosaico pero

todavía existe dificultad en la decodificación y representación de la imagen.

El formato de la señal NAjBTS en las líneas del VBI se indica en la fig. 1.15.

La transmisión física de bits es muy similar al WST, es decir con una velocidad de

transmisión de 5.727272 MHz, 16 bits de reloj y un código de trama. Después del

código de trama el formato es diferente. En NABTS, a cada línea se le envía un

paquete de prefijos de 5 bytes seguidos por un bloque de datos de 28 bytes. El

bloque de datos puede contener datos puros con un valor de checksum o

información de control en forma de un GRUPO DE DATOS.

RELOJ CÓDIGO DETRAMA

PAQUETE DEPREFIJOS

28 BYTES DEDATOS

FIG. 1.15 Trama de datos NABT

El paquete de prefijo consiste de bytes de códigos hamming que contienen:

3 bytes. para la dirección del paquete, 1 bytes para el índice de continuidad y 1 byte

para estructura del paquete.

La dirección del paquete tiene aproximadamente la misma función que el

número de Revista en WST. El byte de índice de continuidad inicia con O en la

primera línea de una página y se incrementa en uno por cada línea del VBÍ

contando desde OOH hasta FFH, esto es útil para asegurarse que no exista errores

en un paquete de datos. Por último se tiene el byte de estructura del paquete que

indica si los siguientes bytes son bytes de datos o más bytes codificados en

Hamming del GRUPO DE DATOS.

Los grupos de datos son encontrados en el inicio de cada página, ellos

consisten de unos 8 bytes que formarán el Data Group Header (Cabecera del

-53 -

Page 65: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Grupo de Datos), seguidos por una longitud variable de bytes que formarán el

Record Header (Cabecera de grabación). De estos bytes, los más importantes son

el tamaño del grupo de datos y el tamaño final del bloque no cero. Los 8 bits de

datos no Hamming del tamaño del grupo de datos son e] número de paquetes

totales en la página, iniciando con el próximo paquete. Símil anuente, el número

de bytes del bloque de datos usados por e] último paquete de la página es colocado

en el tamaño del bloque final no cero. Siguiéndole al grupo de datos está Ja

cabecera de grabación (Record Header), donde para Teletexto rutinario, el tipo de

grabación es cero. El designador de la cabecera de grabación indica cual de las

opciones de campo están presentes.

Los datos de las páginas de Teletexto siguen inmediatamente después de la

cabecera de grabación y continúa hasta la próxima cabecera de grupo de datos. A

diferencia de WST, el último byte de la mayoría de paquetes de datos es un byte

de checksum para una recepción correcta del paquete.

1.3.3 NETWORK TIME STAMP

Otra de las importantes señales enviadas en el intervalo de borrado vertical

y que cuyos datos no son del todo de Teletexto es el caso del time stamp

oficialmente conocida como Source Identification (SID), el cual es enviado en la

línea 20 del campo impar del VBI, este código consiste del mes, día, hora, minuto,

segundo y una fracción de segundo (en incrementos de 1/30, la cual implica que su

máxima resolución sea de 1/30 de un segundo, esto es porque es enviada en un sólo

campo). Su importancia está en que durante la trasmisión, el dato es exacto dentro

de un segundo por lo cual, es usado para igualar otros relojes, pero hay que tener

cuidado cuando el programa no se esté emitiendo en directo. Se debe notar que

el tiempo que generalmente se emite es el Tiempo Local de la Costa Este de los

Estados Unidos.

- 54 -

Page 66: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

A diferencia de las otras formas de teletexto, los datos aparecen a una

velocidad de 1,006 MHz o velocidades cercanas a este valor pero en su señal no se

emite un reloj inicial run-in; sin embargo, contiene un código de trama seguido por

una secuencia de bits de datos que se describen a continuación:

1010110 FFFFF xxxxxx QQQQ DDDDD mrcm HHHHHH ssssss A

FFFFF

XXXXXX

QQQQ

DDDDD

HHHH

MMMMMM

SSSSSS

A

Fracción de segundo, de O a 29

el tiempo es leído cuando la fracción es 2 o 3.

Varía de acuerdo a la red que emite

Mes del año, de 1 al 12

Día del mes, de 1 al 31

Hora, de O a 12

Minutos, de O a 59

Segundos, de O a 59

bandera de AM PM, 1 si es PM

La secuencia completa de tiempo es enviada sólo cuando el código de la

fracción de segundo es 2 ó 3, en otros casos, sólo se transmiten el código de trama

y la fracción de segundo. Los bits de nivel XXXXXX al ser enviados varia de red

en red y su propósito es de uso desconocido por lo cual no tiene ninguna

importancia.

Existen algunas estaciones, además de enviar esta información incluyen algo

adicional. Es así, que durante líneas donde el código de la fracción de segundo

es igual a 20, 22 y 24, el resto de los bits forman 5 caracteres ASCII de 7 bits sin

paridad. El contenido de estos 15 caracteres varían en su significado, pero

usualmente son agrupados en 5 caracteres de identificación del sindicato, 5

caracteres del nombre de estación y 5 caracteres de un único número de estación.

- 55 -

Page 67: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1.3.4 VERTICAL INTERVAL TIME CODE (YJTC)

La señal de VITC es emitida a una velocidad de 1.789972 MHz y cuando

sus datos son recibidos se podrá desplegar información del tiempo con el siguiente

formato:

HH:MM:SS:FFx 12345678

donde:

HH

MM

SS

FF

x

12345678

- horas

- minutos

- segundos

- trama

- campo de video: 'i1 para campo impar y :p' para campo

par.

- grupo binario de datos desplegados en formato hexadeci

mal. 1 = grupo binario-1, 2 = grupo binario-2, etc.

Si todos los grupos binarios son cero, ninguno de ellos será desplegado. El

formato utilizado para la emisión de esta señal es:

BMS

byte-1 bgl(8) bgl(4) bgl(2) bgl(l)

byte-2 bg2(8) bg2(4) bg2(2) bg2(l)

byte-3 bg3(8) bg3(4) bg3(2) bg3(l)

byte-4 bg4(8) bg4(4) bg4(2) bg4(l)

byte-5 bg5(8) bg5(4) bg5(2) bg5(l)

byte-6 bg6(8) bg6(4) bg6(2) bg6(l)

byte-7 bg7(8) bg7(4) bg7(2) bg7(l)

byte-S bg8(8) bg8(4) bg8(2) bg8(l)

Bms

fu(8). fu(4) fu (2) fu(l)

cf. df ft(20) ft(10)

su(8) su (4) su (2) su( l )

fm. st(40) st(20) st(10)

mu(8) mu(4) mu(2) mu(l)

bgf-55 mt(40) mt(20) mt(10)

hu(8) hu(4). hu(2) hu( l )

bgf-75 nn ht(20) ht(10)

-56 -

Page 68: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

donde:

bg - bit de grupo binario

fu - bit de unidades de traína

ft - bit de decenas de trama

su - bit de unidades de segundo

st - bit de decenas de segundo

mu - bit de unidades de minuto

mt - bit de decenas de minuto

hu - bit de unidades de hora

ht. - bit de decenas de hora

cf - bandera de color de trama

df. - bandera de trama de salto

fin - marca del campo (O = par)

un - no asignada

bgf-55 y bgf-75 bandera de .bits de grupo binario:

%bgf-55 bgf-75

0 O Grupo de caracteres no especificados

1 O Svo. bit del grupo de caracteres

0 1 no asignado

1 1 no asignado

- 57

Page 69: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CAPITULO II

2.- HARDWARE

2.1 DESCRIPCIÓN Y FUNCIONAMIENTO DEL HARDWARE

El equipo desarrollado tiene como entrada a la señal normal de video

estándar de IVpp, la misma que será procesada en dos instancias: la primera

instancia capturará y la segunda recolectará los datos de todas las líneas

(previamente prefijadas) del intervalos de borrado vertical, terminando con la

decodificación al desplegar en pantalla en forma totalmente legible la información

obtenida.

El procedimiento para la recuperación, decodificación y despliegue de

información que es enviada en formato digital en los intervalos de borrado vertical,

se ha separado en dos módulos básicos que son:

* El primer módulo representa el cerebro del equipo y constituye el

MODULO DIGITAL (CPU) del mismo. Este módulo imparte las

órdenes para el sincronismo y buen funcionamiento de la parte

analógica/digital además es quien decide el momento para capturar

y recolectar datos que serán decodificados y desplegados en pantalla.

-58 -

Page 70: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

* El segundo módulo debe cumplir con la recolección de información.

En este módulo se tiene el ingreso de la señal compuesta de video;

que pasando por ciertos procesos, capturan datos de las líneas del

inténsalo de borrado vertical (pudiendo ser escogidos datos desde la

línea 11 a la 23 de] VBI) que adaptados a niveles TTL serán

recolectados bit a bit en memoria de captura con cada pulso de sus

respectivas señales de reloj. A este módulo se lo ha denominado

PARTE ANALÓGICA/DIGITAL del equipo, puesto que la informa-

ción digital viene dentro de la trama de video que es de naturaleza

analógica.

El proceso que debe seguir la señal normal de video desde su ingreso hasta

cuando sale información legible por el pórtico serial se detalla en el diagrama de

bloques de la figura 2.1.

Como se puede apreciar en el diagrama, el proceso inicia con una señal

compuesta normal de video, la cual pasando por un circuito buffer es enviada al

detector de sincronismo de video y a un circuito de video clamping.

El detector de sincronismo genera: señales de sincronismo compuesto, de

campo par e impar, sincronismo vertical y una señal de burst en el inicio de cada

línea de video. La señal del Éurst es usada por el circuito clamping para tener una

referencia de OV la señal de video clamping en el momento que se tiene un pórtico

frontal además se consigue eliminar de esta manera el burst de la señal de video.

La salida del detector de sincronismo también es alimentada al CPU y usada para

detectar líneas válidas de] VBI. Esta etapa es muy importante puesto que

partiendo de ía descomposición de la señal de video se puede hacer interactuar

sincronizadamente el CPU y la parte análoga/digital.

Después de la etapa del Video clamping, se envía la señal a un par de

circuitos que dan un nivel de voltaje de referencia adecuado para discriminar entre

- 59 -

Page 71: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

V

o o a

EN

TRA

DA

OE

VID

EO

RT

ICO

SE

RIA

L

C\

Page 72: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

O y 1 los bits almacenados en el intervalo vertical de borrado que pasando por un

comparador se tendrá una salida en niveles TTL, a éstos circuitos se los ha

denominado Datos TTL.

Puesto que las señales a decodificar vienen a diferentes velocidades se

tienen dos circuitos de datos TTL:

* El uno para señales rápidas como WST, NABT, TIME STAMP y VITC

cuyo voltaje de referencia viene dado por el CPU (mediante programa se

puede escoger el valor de este voltaje).

* El otro nivel de referencia es necesario para señales más lentas, por ejemplo

Closed Caption, éste circuito emplea una señal escalón para determinar el

nivel de corte óptimo.

Ambos circuitos de la etapa de datos TTL generarán salidas de datos en

niveles TTL. Estos datos a más de darnos la información deseada nos permiten

recuperarla señal de reloj utilizados para controlar el almacenamiento en memoria;

para este propósito se tiene 2 circuitos regeneradores y 2 generadores de reloj.

* Los circuitos regeneradores de reloj, permiten reconstruir la señal de reloj

a partir de los mismos datos, logrando de esta manera que actúe

sincronizadamente el bit de dato y el pulso de escritura necesarios para la

memoria de almacenamiento. Uno de los circuitos regeradores es para

datos lentos -Closed Caption- que trabaja a l,006MHz y el otro para datos

rápidos -WST y NABT- que trabajan a 5,72727MHz.

* Los circuitos generadores de reloj se construyen a partir de osciladores que

pasando por divisores de frecuencia dan valores de frecuencias de:

l.OOSMHz para señales de Time Stamp y de l.SMHz para señales VITC

- 61 -

Page 73: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

respectivamente.

Como que se trabaja con un sólo tipo de señal a la vez, es necesario una

etapa que seleccione esta información. Así se tiene que el CPU controla un

multiplexor 4 a 1 para seleccionar los datos deseados con su respectivo valor de

reloj. Este proceso se da bajo el control del CPU, el que mediante software

genera una salida denominada Línea Válida, línea que se mantendrá en alto

mientras no se termine de leer todas las líneas del VBI previamente escogidas o

seteadas por predifínición.

Cuando teniendo una línea válida activada; si se tiene un pulso de reloj, el

dato actual se almacena en la memoria serial (4069 x 1-bit) cuyo direccionamiento

está dada por un contador, el contador se incrementa después de cada pulso de

escritura. La combinación de contador y memoria operan como un gran registro

denominado memoria de captura, que sirve para almacenar los datos durante el

VBT para más luego ser descargos a la salida. En este punto se termina con e!

proceso de recolección de datos.

Terminado el intervalo de borrado vertical, la línea válida se pone en nivel

bajo (se desactiva) y da el control al CPU para resetear el contador, leer los datos

almacenados en la memoria serial y convertirlos en caracteres ASCII para ser

decodificados y desplegados en pantalla.

Finalmente, el CPU contiene una memoria de datos RAM (que se usa para

almacenar grandes cantidades de datos durante operaciones de teletexto de

velocidades altas como es el caso de señales WST y NABT-) y una memoria de

programa (EPROM).

- 62 -

Page 74: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

2.2 DISEÑO DEL MODULO DIGITAL

La configuración del módulo digital tiene como principal componente a un

microprocesador de la familia INTEL MCS-51, una memoria Eprom, una memoria

RAM y un conversor de niveles TTL a RS-232 y viceversa. El módulo digital se

puede ver en la figura 2.2.

CONFIGURACIÓN DEL MICROPROCESADOR

El microprocesador es de la familia INTEL MCS-51 que posee una Unidad

Central de Procesamiento (CPU) de 8 bits, 128 bytes de RAM interna y 4 pórticos

paralelos de 8 bits cada uno que cumplen ciertas funciones como:

PÓRTICO O (PO): Este pórtico puede ser usado como bus de datos

externos o como bus de direcciones externas (de los 8

bits menos significativos).

PÓRTICO 2 (P2): Este pórtico sólo puede ser usado como bus de

direcciones externas (de los 8 bits más significativos).

PÓRTICO 3 (P3): Este pórtico es utilizado como bus de control de

dispositivos periféricos. Para este proyecto se los ha

designado las siguientes funciones:

P3.0 RXD Recepción del pórtico serial

P3.1 TXD Transmisión del pórtico serial.

El RXD y TXD son utilizados para comunicar-

se entre el kit y un terminal de manera

Asincrónica Universal (UART) mediante un

interfaz de E/S como Jo es el MAX232.

- 63 -

Page 75: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

F7G. 23. Configuración del C.P.TJ.

- 64-

Page 76: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

P3.2 INTO Interrupción externa 0. Esta interrup-

ción es de .mucha importancia en este

proyecto ya que se activa cada vez que

ocurre un pulso de sincronismo com-

puesto.

P3.3 INT1 Interrupción externa 1. Similar al caso

anterior, esta interrupción se activa con

la presencia de un pulso de sincronismo

vertical.

P3.4 TO Temporizado!" O, al cual se lo ha desig-

nado como señal de reloj del registro

serie-paralelo.

P3.5 TI Temporizador 1 (no es utilizado)

P3.6 WR Pulso de escritura a RAM externa

P3.7 RD Pulso de lectura de RAM exlerna.

El WR y RD son utilizadas como líneas

de control de memoria de datos exter-

nos.

PÓRTICO 1 (Pl): Funciona como pórtico de entrada/salida de datos que

cumplen funciones como:

Pl.O'y Pl.l Selecciona el tipo de datos así como el reloj utilizado

para decodifícar una determinada señal.

PI.2 Informa el campo (par o impar) de la señal de video

que en un determinado momento se está rastreando.

P1.3 Salida de bit en bit del dato (en un rango de 00 a

FFH) que se almacenará en el registro serie-paralelo

para luego ser transformado en el nivel de referencia

utilizado por los datos rápidos.

- 65 -

Page 77: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

P1.4 Entrada de los bits de datos provenientes de la

memoria de captura (memoria serial).

.pl.5 Bit de salida, necesario para resetear el contador de

direccionamiento de la memoria serial.

P1.6 Bit para incrementar el contador de direccionamiento

de la memoria serial.

P1.7 Denominado Línea Válida y sirve para informar al

hardware que la línea del intervalo de borrado vertical

presente debe ser capturada.

Este microprocesador contiene 4 Kbyte en memoria ROM interna, la cual

no es suficiente para este proyecto, por tanto en su lugar se utilizará una memoria

de programa externa; por consiguiente se debe conectar el pin Enable Adresses

(EA) a O,.

La memoria de programa es de tipo EPROM 27256 de 32 Kbytes (32Kx8)

que consta de 15 líneas de direcciones (A^ - A14) dadas por los pórticos PO y P2;

puesto que el pórtico O además de ser bus de direcciones es bus de datos, es

necesario que el bus de datos sea multiplexado con los 8 bits menos significativos

del bus de direcciones mediante un LATCH 74LS373 activado por la señal de ALE

(Adress Latch Enable) pin 30 del microprocesador. Una vez obtenida la dirección

completa, se activa la señal PSEN (Program Storage Enable) pin 28 del micro,

señal que habilita la salida del código del programa desde la memoria que ingresa

a través del bus de datos al microprocesador.

Además se cuenta con una memoria de datos externos, usada para

almacenar grandes cantidades de datos. Esta memoria será de tipo RAM 43256

de 32 Kbytes (32Kx8) que igual que la memoria de programa será controlada por

los pines RD y WR del microprocesador y direccionada por los pórticos PO y P2

del mismo. Puesto que se utilizan 7 líneas del pórtico P2 para direccionar las

- 66-

Page 78: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

memorias externas, la línea sobrante (P2.7) será utilizada para habilitar a la

memoria externa de datos.

El circuito de reloj que permite el funcionamiento del microprocesador está

constituido por un cristal de cuarzo cuyo valor será de 11.0592 MHz que estará

conectado a los pines 18 y 19 del microprocesador. Se ha escogido este valor del

cristal por dos razones:

1.- La velocidad de procesamiento de datos tiene que ser la mayor posible con

la que pueda funcionar el microprocesador (que para el caso de los

microprocesadores de la familia MCS51 es de 12 MHz), aún este valor no

es lo suficiente como para capturar y procesar los datos en tiempo real ya

que se perdería mucha información, por tal razón se ha implementado una

memoria de datos RAM externa (anteriormente explicada).

2.- Para tener valores exactos de baud rate, valores importantes para

aplicaciones de comunicación.

Para el circuito de reloj, se ha escogido valores de los condensadores Cl y

C2 de 27 pF (valores recomendados por el fabricante) que serán conectados desde

cada uno de los extremos de] cristal a tierra, estos condensadores son útiles para

filtrar ruido en el reloj y evitar oscilaciones no deseadas.

El circuito de reset ha sido implementado con un pulsante SI, un

condensador C3 de 10 uF y una resistencia Rl de 8.2K que dan el retardo

necesario para que el microprocesador sea inicializado luego de que todo e] sistema

sea polarizado. Cuando se haya presionado el pulsante SI, se colocará al pin 9 del

microprocesador un lh nivel necesario para reiniciar el funcionamiento del sistema.

- 6 7 -

Page 79: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Para terminar con este módulo, se tiene un interfaz de entrada/salida de

datos constituido por un MAX232, éste elemento convierte niveles del pórtico

serial de I/O a niveles RS-232 y viceversa.

2.3 DISEÑO DEL MODULO ANALÓGICA/DIGITAL

La parte analógica/digital fue diseñada siguiendo los requerimientos

descritos en el diagrama de bloques de la figura 2.1. Esto es:

BUFFER DE VIDEO

Bloque construido por un amplificador operacional/buffer JFET LF347

(U6C) (ver fig. 2.3), cuyas características más importantes son: amplificador

operacional con entradas de alta velocidad, un ancho de banda de 4 MHz, baja

corriente de entrada, impedancia de entrada alta, bajo ruido y slew rate rápido,

éste circuito nos permite acoplar la impedancia de salida del equipo de TV y la

impedancia del equipo decodificador, por tal motivo el amplificador operacional

tiene una configuración en emisor común.

DETECTOR DE SINCRONISMO

La salida del buffer de video alimenta a un detector de sincronismo que lo

constituye el C.í. LM1881 (la señal de video es alimentada por el pin 2. como se

puede ver en la fig. 2.3, los valores de R3 y CIO son sugeridos por el fabricante

para dar mayor estabilidad al circuito cuando sucedan los pulsos de sincronismo

(horizontal o vertical) de la señal de video, además este circuito provee señales de

salida tales como:

- 68 -

Page 80: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Sincronismo compuesto (pin 1), a ésta señal se la coloca en la entrada de

la INTO del microprocesador y nos informará principalmente sobre los

sincronismos horizontales que suceden en las 23 primeras líneas de cada

escena de la señal de video.

Sincronismo Vertical (pin 3), a ésta señal se la coloca en la entrada de la

INT1 del microprocesador, previamente será invertida por un inversor smitt

trigger 74HC14 (USD) y nos servirá para informarnos sobre el fin del pulso

de sincronismo vertical para luego activar las interrupciones de sincronismo

horizontal deseadas.

Burst o front porch (pin 5), que será usado por el circuito clamping

(detallado más adelante).

Información de campo par/impar (pin 5), ésta señal es útil principalmente

para datos de time stamp y Closed Caption, ya que éstas señales ocurren

solamente durante campos impares.

VIDEO CLAMPING

El video clamping será formado por un transistor Ql y una resistencia R4.

Este circuito es manejado por la señal de burst del C.I. LM1881, pero puesto que

la salida del burst es demasiado larga se puede sobreponer al inicio del reloj de las

señales de teletexto; por tanto, a este pulso se lo ha acortado con un monoestable

74LS123 (U7A) que genera una señal con el tiempo suficiente (establecido por R6

y C12) para manejar la base de Ql del circuito clamping (ver fig. 2.3).

- 69 -

Page 81: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

KIG. 23 Circuitos: Clamping, Buffer y de sincronismo

El colector de Ql contendrá la señal, de video, la misma que ha sido

amplificada por una relación de 3 mediante otro amplificador operacional/buffer

JFET (U6D) y las resitencias R29 y R30. Como resultado de este circuito se tiene

una señal que se la sujeta a tierra durante el pórtico frontal de cada línea dejando

pasar la señal amplificada el resto del tiempo de rastreo.

Este circuito además de eliminar el burst de la señal de video, establece un

punto de referencia para ]a recuperación de los datos incrustados en la señal de

video para lo cual se utiliza a R4 de un valor elevado (1M).

DATOS TTL (RÁPIDOS Y LENTOS)

Los datos TTL son hechos con comparadores de voltaje, para este caso se

utiliza el C.I. LM319 (U10), comparador de alta velocidad que puede ser

configurado para tener salidas TTL. Como se tienen 2 circuitos de datos TTL se

- 70 -

Page 82: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

requiere 1 comparador para cada circuito (U10A,B)- El nivel de voltaje de

referencia de comparación será conectado a la entrada no inversora y la señal de

video a la inversora de cada comparador.

La salida del comparador tiene una configuración en colector abierto como

se puede ver en la figura 2.4, por tal razón requiere de una resistencia de pulí up

que fue escogida de la siguiente manera:

ÍH_ Rumín) = VCC

'IH AOLn*T 4- M*T Tu J-OH ' *- J-IU Af

donde:

VOH = 2.4V VOL = 0.4V IOL =Ion = 250^,A IIH = 40/xA IIL = 1.6mAn = # de circuitos de salidaN = # de circuitos de entradaEn este caso, como es un amplificador operacional IOL = 25niA

Entonces:

-2.4V RL(mín) = 5V - 0.4V250/j.A + 2*40/xA 25mA - 2*1.6raA

RL(máX) = 7.8 KA , RL(mín) = 210 (I

Siendo utilizados valores de 220 íl tanto para R8 como para R9, la razón

de escoger valores bajos es que valores altos de R de pulí up tienden a incrementar

e] retardo del bloque y ]a susceptibilidad al ruido.

Como resultado se tiene na cadena de datos invertidos en niveles TTL, para

desinvertir la cadena de bits hallados se usa inversores US(A3B)> puesto que datos

invertidos y no invertidos son necesarios para las siguientes etapas.

- 71 -

Page 83: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ISALIDA PE VIDEO

U1DA ¿ R8

) 233

-ÍD.MQSJNVRAP >

•jDATOS IHVLENT >

PATOS LENTOS' >

FiG. 2.4 Datos TTL (Invertidos y desinvertidos)

El nivel de voltaje de referencia para las señales de datos rápidos (WST,

NABT, VITC y TIME STAMP), es suministrado por un conversor digital análogo

DACOSOO de 8 a 1 (U22). El CPU genera un stream de 8 bits que da el valor en

hexadecimal del voltaje de referencia por el pin P1.3 (dato que puede ser escogido

por el usuario) y un reloj proporcionado por el pórtico P3.4 del microprocesador,

formando de esta manera los datos y el reloj de entrada a un registro serie-paralelo

74LS164 (U19), cuya salida ingresará al conversor como se puede ver en la fig. 2.5.

El funcionamiento del conversor está dado por dos resistencia (R21 y R26

-se han escogido valores altos de resistencias para mantener inmunidad al ruido-),

las misma que darán la corriente de salida del conversor. La corriente de salida

del conversor ha sido calculado con la siguiente fórmula:

I f s = -S^r * 255

- 72-

Page 84: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Siendo:

Vref = 5VR _ = R21 = 38 KÜ

vref

Entonces:

I = 5V * 255 = 0.131 tnA

256

B7

ve(

1 NIVEL Vrcf y

C

i2

8

9C

U19

B OBOC

QE

74LS164

3 124 115 106 910 811 712 613 5

VCC 15_

U 22

B7B6

B4

VR +

VR- VLC

4 a ^2

2 x

I

T TC27

16 I/

11 -120.01 uF

J

U?A

LF347D

R2822k

E1G. 2.5 Nivel del voltaje de referencia 1 (Vrefl)

Esta corriente de salida (Ifs) es convertida a voltaje por otro amplificador

operacional (U6A). Para obtener voltajes de salida en un rango de O a IV (máx.)

se ha utilizado una resistencia R7 de 6.8 Kíl, calculado mediante la siguiente

fórmula:

V0 = Trs * R7

R7 = I/0.131 .mAR7 = 7.6 Kíl

-73 -

Page 85: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El otro nivel de voltaje de referencia para señales de datos lentos (por

ejemplo Closed Caption), usan un nivel generado por un monoestable y la señal de

línea válida con la que se consigue tener una onda en escalón cuyos valores de

voltaje son controlados por dos potenciómetros (R13 y R33). R33 es un

potenciómetro de 5 Kíly sirve para calibrar el nivel de referencia necesario tal que

al recuperar el reloj de ]a señal de Qosed Caption se tenga un ciclo de trabajo de]

50%, mientras que potenciómetro R13 es utilizado para obtener el nivel de

referencia necesario para recuperar sin ruido los datos de cada línea del VBI.

FIG. 2.6 Nivel del voltaje de referencia 2 (VrefZ)

REGENERADORES DE LA SEÑAL DE RELOJ

Igual que el bloque anterior, este bloque necesita un par de circuitos

regeneradores de reloj, uno para los datos de Qosed Caption y el otro para WST

y NABT como se puede ver en la figura 2.7.

Como el funcionamiento de cada circuito regenerador es el mismo, basta

con describir uno de ellos para entender el funcionamiento del otro.

- 7 4 -

Page 86: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

C1G \> !í i I10

i\47pF S mi

47pF / R19 i±3_

V-i//7403

U11D

KÜ 1

9W12<?

P 1_J

74 OOt

L1

T " O

S|

1 )3

7400

~v GIS

7

FIG. 2.7 Circuitos regeneradores de reloj

Así para el circuito regenerador de reloj para datos rápidos se utiliza como

señal entrante a los datos TTL invertidos y desinvertidos recuperados, que pasando

por una combinación de C16 y R18 (actuando como circuito diferenciado!*) se

obtiene los pulsos de los flancos de subida. El circuito diferenciado!" tanto para

WST/NABT (R18/C16) y Closed Caption (R14/C14) es calculado de la siguiente

manera:

C -2ir*f*R

Sea R18 = R14 = 1KÍI , entonces:

C16 = 12ir*5.72xlOfi*1000n

C14 = 1

C16 = 27.8 pf

2-n-*1.00óxlOc*1000a

C14 = 158 pC

-75 -

Page 87: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Como se ha dicho, este diferenciador genera un pulso en cada transición de

nivel de bajo a alto en los datos invertidos, mientras que el otro circuito

diferenciador (C17/R19 de igual valor que C16/R18 para WST/NABT y C15/R15

igual a C14/R14 para CC) teniendo como entrada a los datos desinvertidos produce

un pulso en cada transición de alto a bajo.

En la práctica se han escogido para WST/NABT valores de condensadores

C16 = C17 = 47 pf y para Closed Caption valores de C14 = C15 = 150 pf, estos

valores han sido seleccionados por dar mejores resultados en el funcionamiento.

La salida de cada diferenciador (que representa un pulso con cada cambio

de nivel de los datos) son adaptados a niveles TTL mediante una compuerta

NAND 7403 (Ull), cuyas salidas están en configuración de AND alambrada

mediante una R de pulí up y conectadas a una de las entradas del circuito tanque

realizada con la compuerta NAND 7400 (U12). La R16 de pulí up fue calculada

de la siguiente manera:

R = \7rr - "V T? — \/rr - \L(máx) V II - V OH ^Lfimn) ~ V CU - V ou_

Ti*T -i- N*T T NT*T-° *OH ^ 1N %I ¿Oí- - IN ÍIL

-2.4V RT,mfnl = 5V-0.4V2*250/iA + 40/jA 16mA - 1.6mA

= 4.8 KSl RlfM =

Habiéndose utilizado valores de R16 = R20 = 470Í1

La otra entrada del 7400 saldrá del circuito de sintonía (para WST/ NABT)

compuesto por una bobina variable Ll, C18 y C21, sintonizado a una frecuencia

que puede ser ajustada con la velocidad del bit de dato que en este caso es de

5.7272MHz. El pulso de transición del dato produce que el reloj generado se

sincronice con los datos recuperados dando como resultado una señal de escritura

- 76-

Page 88: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

capaz de almacenar los datos en la memoria de captura. El circuito tanque fue

calculado de la siguiente manera:

donde: Cp = C18 * C22 . Sea C18 = SOOpFC18 + C22 C22 = ÓOOpF

Cp = 200 pf

Por tanto para datos de 5.7272 MHz se obtuvo un valor de Ll de 3,9

utilizándose una bobina variable de 3,2 a 8,4 /¿H, El procedimiento para generar

el reloj de CC es exactamente el misino, obteniéndose en este caso un valor de L2

de 20,86 /¿H habiéndose utilizado una bobina variable de 18 a 32 /¿H.

GENERADORES DE RELOJ

Para detección de señales de time stamp y VITC (Vertical Interval Time

Code) igual que el caso anterior, basta con describir uno de ellos para entender el

funcionamiento del otro, la diferencia entre estos circuitos está que para VITC la

oscilación se obtiene de un chip oscilador mientras para Time stamp la oscilación

se genera con un cristal y compuertas 74LS04 como lo muestra la figura 2.8,

circuito escogido por tener buena estabilidad, donde R23 y R24 fueron escogidos

de:

R23 = R24 = Vcc / IOL

R23 = R24 = 5V / 16mA

R23 = R24 - 312 (I

- 7 7 -

Page 89: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Por tanto R23 = R24 = 33 OO. fueron los valores de resistencia utilizados.

FIG. 2.8 Circuito de reloj para Time Stamp

Una vez obtenida las señales de oscilación deseadas; por ejemplo tomemos

el caso de la señal Time Stamp, se tendrá una frecuencia de oscilación de 4.032

MHz, la misma que pasando por un contador módulo 16 74JLS93 (U21) será divida

por 4 para obtener un reloj de 1.008 MHz que se utiliza para almacenar los datos

de Time Stamp recuperados en la memoria de captura.

Para sincronizar los datos de esta señal con el reloj generado se hace uso

un flip-flop tipo D inicialmente en alto, donde la línea válida va a la entrada Clear

y los datos al Clock.

Como ya se mencionó, para la señal VITC el funcionamiento es el mismo

que para Time Stamp como se puede apreciar en la figura 2.9, con la diferencia

que se tiene un oscilador de 14.31818MHz que será dividida para 8 porque ésta

señal necesita un reloj de 1.789972 MHz.

-78 -

Page 90: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

IDAiOS TJM&VJIC J>

U9A

lUNEA VALIDA > C

b

C DU

CL f" PR

3

4

1~

<ñELQJVlTC . I-"?

1rR0(2)R0(l)

OD

OB B'OA A'

3 VCC

«I

Sl

74LS93

74LS74

0

ua

— — GUÍ143181MHZ

FJG. 2.9 Circuito de reloj para VITC

MULTIPLEXOR DE DATOS Y RELOJ

Puesto que el sistema solamente trabajará con un sólo tipo de señal a la vez

(WST, NABTS, Closed Caption, Time Stamp o VITC); es decir, se necesita de un

reloj y datos de información para cada modo, entonces se utiliza un multiplexor

dual de 4 a 1-74HCT153- (U13) cuyas salidas consisten de una señal sólo de datos

y su correspondiente señal de reloj. Así se tiene que el CPU (bajo control por

software) multiplexará las señales requeridas, salidas controladas por el pórtico 1

mediante la siguiente combinación: Pl.O y Pl.l = 00 = Closed Caption, 01 =

WST/NABT, 10 = TIME STAMP y 11 = VITC.

La salida del multimplexor que contiene la señal de reloj es utilizada como

una de las señales de control de un nuevo multiplexor dual 4 a 1 (U14); donde la

otra señal de control la constituye la denominada línea válida (como se puede ver

en la figura 2.10), esta nueva combinación permite generar Ja siguiente secuencia:

Si la señal de línea válida está en alto, entonces las salidas 1Y y 2Y tendrán

una señal de reloj igual a la de los datos, la primera (1Y) es utilizada para

incrementar el contador que direcciona la memoria serial; y la segunda

(2Y), pasando por un monoestable generará el pulso de escritura para

almacenar el dato en la memoria serial.

-79 -

Page 91: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Luego de almacenar una(s) línea(s) de datos se pone la señal de línea válida

en bajo, entonces en la salida 1Y del multiplexor se tiene una señal de reloj

generada por el microprocesador (pórtico P1.6), reloj usado para leer los

datos almacenados en la memoria serial puesto que en ese momento la

salida 2Y está deshabilitada.

IñESET CONTAD >

[P16

FIG. 2.10 Circuito de multiplexión

Como ya se anotó, una vez obtenidos los datos se prosigue con el

almacenamiento o lectura de información en la memoria serial 2147 de 4069 bits

(U16) que es direccionada por un contador de 12 bits 74HCT4040 (U 15) el mismo

que es incrementado por la salida 1Y del 74HCT153 (U14). Cuando se halla en

modo de lectura de datos, el microprocesador usa el pórtico P1.4 para llevar los

datos de memoria de captura, formar caracteres y ubicarlos en memoria externa

de datos para su posterior decodificación.

- 8 0 -

Page 92: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Para concluir con lo descrito del funcionamiento del hardware cabe recalcar

que todo el procedimiento es guiado y controlado por el CPU.

Una vez detallada cada uno de los grupos funcionales del equipo a construir,

se presenta en la figura 2.11 el diagrama eléctrico total del decodifícador de

Teletexto; esto es, módulo digital y módulo analógico/digital.

2.4 CONSTRUCCIÓN DEL EQUIPO

Para la construcción del equipo se necesitó fabricar 2 tarjetas, utilizándose

para el efecto diferentes técnicas de construcción en cada una de ellas, esto es:

1.- Técnica de Wire Wrap (Cableado), esta técnica se utilizó para implementar

el módulo 1 denominado CPU, técnica útil para este tipo de circuitos puesto

que existe un gran número de conexiones muy fáciles de realizarlas con

cable y que no incluyen problemas en su funcionamiento, es decir no

aumentan capacitancias parásitas ni ruido que influyan en su funcionalidad.

En este tipo de técnica se utiliza zócalos de patas largas que facilita el

cableado entre elementos, el cable utilizado para las conexiones es el # 30

AWG. El esquema topológico del módulo digital se puede apreciar en la

figura 2.12.

2.- Técnica de tarjeta impresa, esta técnica se la utilizó para implementar el

módulo denominado circuito analógico/digital. Se optó por este tipo de

técnica para no incurrir principalmente con problemas de mido puesto que

en esta sección se tiene señales de voltajes bajos como la señal de video,

además en esta sección se tienen circuitos de generación de reloj que al ser

implementados con la técnica anterior podía afectar Ja funcionalidad del

diseño. El esquema topológico de esta tarjeta se puede apreciar en la

figura 2.13.

-81 -

Page 93: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Adicionalmente en la fig. 2.14 y 2.15 se presentan las conexiones que se han

fabricado en la tarjeta impresa entre cada componente. Estos esquemas tienen su

importancia en caso de averías del decodifícador.

C2(rTo)01CD cO

IX

D D D D D D D D D D n n n a a a a i

1 13o o o o o o o o o o o o oo o o o o o o o o o o o o26 JP1

o o o o o o oa o o o o o

JP2o o o o o o o o

C6 C5 C4

CQ o)

o o o o o o o a c a o a a a D O D D D

O O O O O O O O

C7

Ca o")

zn

JUSLOJLBLajlJBJEI

I D D d D D D D D D D a O D

en tn

RJADOR DE VOLTAJES

Fig. 2.12 Esquema topológico de la tarjeta Digital (CPU)

- 82-

Page 94: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

O O O

(O O O O O O O D)R19

o o o o o o o o o o o o tO O O O O O O O O O O O I

N|S] c25 L2 LIgU @°? s~\ >"U ^F5) o (o o) (o o^^> ° v_y v y

o o o o o o

o o

o o o

o o

_o_o o

es ,a o o o o o o

Fig. 2.13 Esquema topológico de la tarjeta Analógica/Digital

- 83 -

Page 95: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ESCUELA POLITÉCNICA NACIONALDECODIFICADOR DE TELETEXTO

GCP - 1995

o o o o o o oS L? r

Q O O O O O OO)

MHCriSJo o o o o o o n

<o o o o o o o o

rTTiu

[i

IR

o:arID

• í-u:

i.

V¿L^

srn o o o o o741 SI ?"5

C29(°I

5 CR7Í"1

Jo(n^o) í]

U13CR9

j^o o o o o^o o o ó^o o o alo^o g o o o o o o o o o o u)

o o o o o o o)a o o o o o o

74CQ3

S Ltj^aj

o o o o o o o

-UTo o oo o o o

U12>-nj *a o o o o o o

(o o") i(a o) 1,(a o)

— OR27? (ag_o_Q)

U21 O O O O O O O

^-^ — s

o

XTL 14

o

o

O O O 0

R13

74LS93o a

74LS93

CIRCUITOS GENERADORES

DE SEÑALES DE RELOJ

Fig- 2.14 Esquema de conexiones en la cara superior de la tarjeta Analógica/ Dígita!

- 8 4 -

Page 96: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

o [ y n y o o y o o j | Q 0 9 0 0 ^

- 2-15 Esquema de conexiones en la cara inferior de la tarjeta Analógica/ Digital

- 8 5 -

Page 97: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

A continuación se presenta la lista de elementos utilizados para implementar

el decodifícador de Teletexto:

E.P.N - RLE.

Decodifícador de Teletexto

Bi l l Of Materials June 29, 1995

Revised: June 29, 1995

Revisión: gcp

19:08:34

ítem Quantity Reference Part

12

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

3

2

3

1

2

1

2

2

1

1

1

1

1

1

2

1

1

1

2

1

1

1

€1,02,023

C3,C11

04,05,06,07

08

C9,C10

012

014,015

€16,017

C18

020

021

022

C27

029

D1,D2

Jl

Ll

L2

Q1.Q2

Rl

R3

R4

27pF

lOuF

IpF

3300 pF

O.luF

lOOpF

150pF

47pF

300pF

2nF

3nF

600pF

O.OluF

820pF

1N914

BNC

3,2-8,4 /itH

18 - 32 ¿J-I

2N2222

8.2K

680K

1M

- 8 6 -

Page 98: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

47

48

49

50

51

52

8

]

1

2

1

2

1

1

1

2

1

1

1

1

1

1

1

1

1

1

1

1

1

1

2

1

2

1

1

1

R5,R11,R14,R15,

R183R193R25,R34

R6

R7

R2,R83R9

R13

R16,R20

R17

R21

R22

R23,R24

R26

R28

R29

R30

R31

R32

R33

SI

Ul

U2

U3

U4

U5

U6

U7,U23

US

U9,U25

U10

Ull

U12

1K

47K

6.8K

220

POT 10K

470

300

38K

5.1K

330

22K

75

10K

22K

56K

5.7K

POT5K

Pulsador

8031

74LS373

27C256

43256

LM1881

LF347D

74LS123

74HC14

74LS74

LM319

7403

7400

- 8 7 -

Page 99: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

53

54

55

56

57

58

59

60

61

62

63

64

2

1

1

1

2

1

1

1

1

1

1

1

U13,U14

U15

U16

U17

U18,U21

U19

U20

U22

U24

U26

XI

X2

74HCT153

74HC4040

2147

MAX232

74LS93

74LS164

74LS04

DAC0800

14.3181MHz

74LS155

11.0592MHz

4.032MHz

En la figura 2.16 se representa una fotografía de las tarjetas ünplementa-

das.

Page 100: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

La conección entre éstas tarjetas (Módulo CPU y Analógico/Digital) se la

realjza con un cable plano en cuyos extremos se han colocado conectores hembra

de 26 pines. La asignación de pines del conector JP1 es la siguiente:

1 Entrada de línea válida 26 Vcc2 Reloj del contador . 25 NC3 Reset del contador 24 Gnd

4 Entrada de datos 23 NC

5 Nivel de referencia Vreñ 22 +12 V

6 Campo par/impar 21 NC

7 ModoAO 20 - 1 2 V

8 Modo Al 19 NC

'9 NC 18 NC

10 NC 17 NC

11 Reloj de Vrefl 16 NC12 Sincr. Vertical 15 NC

13 Sincr. Horizontal 14 NC

Para la alimentación del circuito se emplea una fuente tipo switching de

dimensiones: 13 cm. de largo, 7 cm. de ancho por 2,5 cm. de alto. Las especifica-

ciones de voltaje son:

Voltaje de entrada: 120VAC de 1A ó 240VAC de 0,5A que trabaja de 50

- 60 Hz.

Voltajes de salida: + 5 VDC a 2 A

+ 12 VDC a 1,5 A

-12 VDC a 0,3 A

- 89 -

Page 101: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CAPITULO III

3.- DESCRIPCIÓN Y FUNCIONAMIENTO DEL SOFTWARE

Como se ha mencionado, el microprocesador es el elemento fundamental,

ya que contiene un programa capaz de controlar la correcta operación entre

hardware y software.

Para cumplir con este propósito, el programa escrito para el microprocesa-

dor está constituido por dos partes, cada una de ellas con una función específica

pero relacionadas entre si. Las partes de] programa son:

* Programa Principal

Es el que fija las condiciones iniciales, establece la comunicación entre

usuario - equipo y ejecuta los comandos digitados por el usuario.

* Programas de Decodificación

Es e] que realiza la captura y decodificación de la señal de teletexto

deseada, existiendo un programa para cada una de las 5 señales diferentes

que puede manejar.

A continuación se detalla cada uno de los programas implementados.

- 90 -

Page 102: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.1 PROGRAMA PRINCIPAL

El programa principal es usado para fijar condiciones iniciales, interpretar

comandos ingresados por teclado y ejecutar las subrutinas necesarias para procesar

dicho comando. En la fig. 3.1 se puede observar e] diagrama de flujo utilizado

para este programa.

INTERRUPCIÓN:V E R T I C A L , yHORIZONTAL

I N I C I f t L I Z A C I Ó N

D E C O N D I C I O N E S

COLOCA ELP R O M P T V 'ESPERA QUESE EMPIEZAA D I G I T A R

1 r

R U T I N A

INTERPRETE

EXISTECOMANDO

D I G I T A D O

R U T I N A S DEP R O G R A M A S DED E C O D I F I C A C I O

P1G3.1 Flujograniu del Programa Principal

-91 -

Page 103: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El programa principal ha sido diseñado para cumplir con tres operaciones

básicas que serán ejecutadas cada vez que el software interactúe con el hardware.

Cabe anotar que este programa asume entradas al microprocesador que provienen

del detector de sincronismo como son las señales de: sincronismo compuesto,

sincronismo vertical, burst y detección de campo de video (señales utilizadas

principalmente por las rutinas de interrupción), estas señales son necesarias para

Ja captura y decodificación de datos.

Una de las salidas más importantes dadas por el microprocesador es la que

se encuentra en el pin P1.7, salida que pasando por un flip-flop tipo D genera la

denominada línea válida. Esta salida si se halla en nivel bajo, informa que el

hardware inicia la captura de datos pero si esta cambia a un nivel alto, el software

inicia el proceso de decodifícación.

El primer bloque del programa principal contiene una zona de inicialización

de interrupciones utilizadas como son: Reset, Interrupción de Sincronismo Vertical

(ubicada en INT1) e Interrupción de Sincronismo Horizontal (en INTO). La

primera interrupción se la activa por estado mientras que las otras serán activadas

por flanco, donde la INTO es activada después que se produce INT1.

* Cuando se ejecuta un RESET, el pin 9 del microprocesador cambia de nivel

- de bajo a alto - habilitando la interrupción (ubicada en la dirección

OOOOH) que reestablece la operación del microprocesador.

* Cuando se produce un cambio de nivel de alto a bajo durante el pulso de

sincronismo vertical de video, en el pin INT1 del microprocesador se

habilitará la interrupción de SINCRONISMO VERTICAL. Esta interrup-

ción cuyo diagrama de flujo es especificado en la fig. 3.1a, fija el inicio de

sincronismo horizontal del VBI en 10 (valor equivalente de los pulso de

sincronización vertical y los de ecualización) y también fija e] inicio de la

tabla de líneas de las cuales se extraerá la información. Esta interrupción

- 92-

Page 104: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

termina habilitando la interrupción INTO.

CINT. UERTICAL

SETEA CONTA-DOR DE LINEAS

EN 18

ACTUALIZA EL(LOS)HUMEROCS) DE LI-NEA CS) A CAPTURAR

HABILITAINTERRUPCIÓNHORIZONTAL

ÍTG 3.1a Flujograma de la Interrupción Vertical

Cuando se tiene un cambio de nivel al inicio de un pulso de sincronismo

horizontal de video, en el pin INTO del microprocesador se habilitará la

interrupción de SINCRONISMO HORIZONTAL. Esta interrupción cuyo

diagrama de flujo se lo puede observar en Ja fig 3.1b} debe analizar si la

trama tornada está en un campo par o impar. Si es campo par, entonces

la cuenta de los pulsos de sincronismo horizontal empieza en 10 (valor

establecido por la interrupción vertical), pero si es campo impar la cuenta

se deberá hacerse desde 11, esto se hace debido a que cuando se barre

campos pares se comienza con línea entera y termina con'media línea,

mientras que cuando se barre campos impares se inicia con media línea y

termina con línea entera.

- 93-

Page 105: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

HORIZONTAL

P R I M E R A

I N T E R R U P C I Ó N

HORIZ.

SETEA CQNTEÜDE LINEAS

EN

TONAR

DATOS DEL

C IMPAR

CAPTURA

LINEA ACTUAL

DE V I D E

TONAR

DATOS DEL

C P A R

CAPTURARLINEAACTUAL

ACTUALIZALINEA DECAPTURA

FIN DELINTERVALOVERTICAL

SETEA PAR AI N I C I O DE

D E C O D I F I C A C I O

DESHABILITAI N T E R R U P C I Ó NHORIZONTAL

FIG. 3-lb Flujograma de la Interrupción Horizontal

- 9 4 -

Page 106: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El proceso continúa adquiriendo los datos de las líneas de los campos

previamente establecidos hasta llegar a la línea 23 del intervalo de borrado

vertical (VBI), valor considerado como el final del VBI por lo que se activa

la bandera de fín de la adquisición VBI y continúa con la decodjficación.

El tiempo que dura las líneas de imagen es aprovechado por el software

para iniciar el proceso de decodificación de los datos capturados hasta que

llegue otra interrupción de sincronismo vertical.

El segundo bloque establece condiciones iniciales tales como:

* Fija y habilita parámetros del pórticos serial en modo 1 (UART -Transmi-

sión/Recección asincrónica universal- de 8 bits) donde el BAUD Rate se lo

genera con el Timer 1, debiendo el timer ser programado en modo 2

(contador de 8 bits con recarga automática)

* Habilita las interrupciones INTO e INT1 para que se habiliten por flanco.

* Fija banderas del campo par, impar y valores de calibración dados por

predefinición.

La tercera operación es una de las más importantes puesto que tiene que

ver con la interpretación de comandos ingresados desde el teclado por el usuario,

esta operación se la realiza con la rutina INTERPRETE cuyo diagrama de flujo se

lo puede ver en la fig. 3.le. Esta rutina está constituida por 2 bloques importantes:

- 95 -

Page 107: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

RUTINA

INTERPRETE

CUMPLE CON FUI1-CIONES ESPECIFI-CAS DE COHTROL

SE HfiPREIOHADOUNA TECLA

BS borra el ultimo carácterESC no usa e l comando dig r i t .BEEL suena el parlanteTA8 coloca un espacio en

blanco

ALHACENA CARÁCTEREH HEHORIA DE

PROGRAMA

1 1

/ C O L O C A UN O/EH HEI IORIA, LO( QUE INDICA QUE\HA TERMINADO DEyVRECIBIR DATOS/

Listo para comenzaara procesar el strearndigitado

/ D E S P L I E G A 1

- /CARÁCTER A

\U

TOHñ UH C O M A N D ODE LA TfiBLfl DE

COHAHDOS

C O M P A R A EL STREAt lD I G I T A D O CON ELDE LA TABLA DE

C O M A N D O S

FIG. 3.1c Flujo grama de la rutina intérprete

- 9 6 -

Page 108: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

a) Ingreso de comandos desde teclado.

Si existe un dato en la entrada serial se analiza si es un carácter de control

(caracteres desde OOH a 1FH) o un carácter imprimible (desde 20H a 7FH).

Los caracteres de control utilizados son: BELL (altavoz), BS (Backspace),

HT (Tabulación horizontal), LF (Line Feed), CR (Carriage return) y ESC

(Escape).

Si se trata de un carácter imprimible, primero se lo almacena en memoria

de programa (dirección inicialmente definida) para después ser desplegado

en pantalla, esto sucede hasta cuando se haya presionado un Retum, esta

tecla indica que ha terminado el ingreso de caracteres desde teclado y que

debe colocarse después del último carácter imprimible un O en memoria de

programa, dando paso al procesamiento del conjunto de datos digitados. Si

durante el modo de ingreso de caracteres existe un carácter de control (de

los anteriormente mencionados), entonces se ejecutan funciones específicas

tales como:

- Si es BS, borra el último carácter digitado tanto de memoria como de

pantalla.

- Si es ESCAPE, a la cadena de caracteres ingresada se lo borra de

memoria e imprime en pantalla un mensaje para indicar que dicho string

será ignorado con lo que regresa a esperar que se digite otro comando.

- Si es TAB, se coloca un espacio en blanco tanto en memoria como en

pantalla.

- Por último, si no es un carácter imprimible ni ninguno de Jos caracteres

de control arriba mencionados, se escuchará un Beep.

- 9 7 -

Page 109: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En este proceso, cuando se haya digitado caracteres en minúsculas se los

convierte a su correspondiente carácter en mayúsculas para ser almacenados

en memoria pero en pantalla aparecerá el carácter digitado (sea mayúscula

o minúscula). Esta transformación se la realiza con ]a finalidad de tener

en memoria al string digitado en un sólo formato y no tener problemas el

momento de realizar la comparación con la tabla de comandos que se hallan

almacenados en mayúsculas.

Además se ha colocado un contador de caracteres que ingresan por teclado

para tener un número máximo de caracteres digitados que en el caso de

exceder en ese valor previamente establecido aparecerá un mensaje de error

y espera que se digite bien otro comando.

b) Interpretación del comando ingresado.

Esto se lo hace utilizando el string almacenado en memoria RAM del

microprocesador, mismo que será comparado con la tabla de comandos

almacenados en memoria de programa. La comparación se la ejecuta

carácter por carácter entre el string de datos y cada uno de los comandos

existentes.

Si durante la comparación se halla un cero en el comando de la tabla en uso

significa que el comando digitado y e] de la tabla no son iguales por lo que

se continúa con el siguiente comando de la tabla. Pero si el cero es hallado

en el string de entrada después de realizar ciertas comparaciones carácter

por carácter, entonces se ejecuta el comando con el que se haya estado

realizando la comparación, esto significa que no es necesario digitar el

nombre completo del comando sino (como en el mensaje de ayuda se

especifica) basta con digitar unos cuantos caracteres del comando que se

requiera utilizar.

- 98 -

Page 110: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Ya interpretado el comando digitado, el CPU se encarga de fijar ciertas

banderas y ejecutar las rutinas necesarias para cumplir con el objetivo requerido.

Los comandos que pueden ser ejecutados se clasifican en:

- Comando Generales que pueden ser:

* Comandos de Ayuda, y.

* Comando Varios

- Comandos Específicos que pueden ser:

* Comandos de Closed Caption,

* Comandos de WST / NABT

* Comandos de Tiempo

* Comandos de VITC

3.1.1 COMANDOS GENERALES

3.1.1.1 COMANDO DE AYUDA.-

Este comando indica a grandes rasgos en qué consiste cada uno de

los comandos que se pueden digitar. Para ejecutar este comando basta con digitar

HELP y aparecerá la tabla de comandos existentes de la siguiente forma:

Para ayuda de urj comando, tipee el nombre del "comando". (Para escoger

un comando, basta digitar las letras indicadas en mayúsculas).

Comandos Varios:

Ambos_C Impar Par INiciar Fijar

Default NOdefault CLS {11 al 22}

-99 -

Page 111: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Comandos para closed caption:

CCDepur CCRaw Ce CCText CCNp

Comandos de la red de tiempo:

CLock CNivel CCAI CLOCKRaw

Comandos para V1TC:

VITCRaw Vite VNivel VCal

Comando WST (World Standard Teletext):

Wst WSTBuffer WSTScan WSTParídad WSTRaw

. WSTIni.mp WSTNimp WSTPAg WCal WNivel

Comandos NABT (North American Broadcast Teletext):

Nabt NABUffer NAScan NARaw NAParídad

NNivel NCal

Presione ESC cuando desee salir de Ayuda

Información de:

En este comando utiliza la rutina INTERPRETE para escoger el ítem del

cual se requiere obtener información cuyo funcionamiento es similar al ya explicado

en el programa principal y que consta de:

a.- Ingresos de comandos por teclado, e

b.- Interpretación del comando ingresado.

- 100 -

Page 112: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.1.1.2 COMANDOS VARIOS

COMANDOS: Ambos_c, Par e Impar.-

Estos comandos se encargan de fijar uno o los dos campos de la señal de

video. Cuando uno de estos comandos se haya digitado se ejecutará ciertas

condiciones, como por ejemplo:

- Si es comando PAR se activa la bandera CJPAR, lo que implica que se

rastrearán datos del campo par.

- Si es comando IMPAR, se activa la bandera C_IMPAR por tanto se

rastrearán datos de campos impares

- Si es comando AMBOS^C, se activan las banderas CJPAR y C_IMPAR,

por tanto se rastrearán datos en ambos campos.

COMANDO: Iniciar

Este comando sirve para reiniciar líneas del VBI que contengan bits de

información (éstas líneas pueden ser diferentes a las usadas por predefinición). Se

requiere que las líneas del VBI a ser ingresadas estén en orden ascendente; es

decir, dada una secuencia de líneas no se podrá fijar una línea intermedia a esta

secuencia; por tanto después de haber establecido las líneas en la Tabla VBI se

debe reiniciar el ingreso de las nuevas líneas a rastrear.

Al ejecutar este comando se ubica el número O como línea inicial de la tabla

VBI a rastrear con lo que se considera borradas las líneas, antes prefijadas, sólo

después de lo ejecutado se puede ingresar la nueva secuencia.

- 101 -

Page 113: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

COMANDO: CLS

Este comando sirve para borrar y posicionar al cursor en el extremo superior

izquierdo de la pantalla. AI ser ejecutado este comando se envían al terminal dos

caracteres: El primero (CLEAR) se encargará de limpiar la pantalla y el segundo

(HOME) ubicará al cursor en el extremo superior izquierdo de la misma.

COMANDO: 11,...,22

Este comando sirve para fijar (en orden ascendente) líneas del Intervalo de

Borrado Vertical desde la 11 hasta la 22. Cuando se haya digitado uno de estos

comandos (números); se escribe en la tabla del VBI el número digitado seguido

como próxima línea fijada el número 00. Al fijar otra línea del VBI, se analiza

que relación cumple la línea fijada con la anterior, es decir:

- Si el número es menor, aparecerá el mensaje:

LAS LINEAS DEBERÁN SER AÑADIDAS EN ORDEN ASCENDENTE.

DIGITE INICIAR PARA RESTABLECER LA TABLA VBI.

Por tanto, este número no será tomado en cuenta para escribirlo en la tabla

VBI.

- Si el número es igual, aparecerá el mensaje: LINEA YA FIJADA, por lo

que no será escrita en la tabla del VBI para no tener líneas repetidas.

- Si este número es mayor, el dato será almacenado a continuación del dato

anterior y como última línea siempre irá un cero.

La secuencia se repite si se ha digitado otro comando de seteo de línea.

- 102-

Page 114: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

COMANDOS : Wnivel, Nnviel, Cnivel y Vnivel

Este comando debe ser digitado junto con un valor hexadecimal de OOH a

OFFH (por ejemplo: WNIVEL 64), valor hexadecimal que tendrá su equivalente

valor de voltaje de referencia Vrefl con la finalidad de extraer los datos del VBI

con el menor número de errores.

Al digitar cualquiera de estos comandos, su valor hexadecimal será

desplegado en pantalla como confirmación del dato en uso.

COMANDO: Nodefault

Este comando es usado por las señales Closed Caption y Clock para rastrear

líneas y campos del VBI diferentes a las que normalmente contienen esta

información. Por tanto, cuando se ha ejecutado el comando NODEFAULT se

activa la bandera DEFAULT y aparece el mensaje:

"EL comando Closed Caption y Clock utilizará valores no establecidos"

Esto significa que el usuario debería indicar las líneas y campos donde desea

que el decodifícador rastree.

COMANDO: Default

Cuando se ejecuta este comando, a la bandera DEFAULT se la desactiva

y aparecerá el mensaje de: "EL comando Closed Caption y Clock utilizará valores

normales". Esto significa que las señales Closed Caption y de Clock tomarán datos

de la línea 21/campo impar y de la línea 20/campo impar respectivamente.

- 103 -

Page 115: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

COMANDO: Fijar

Este comando despliega en pantalla las condiciones de: campo, líneas y nivel

de voltaje de referencia utilizados para extraer datos del intervalo de borrado

vertical de la siguiente manera:

- Si no se ha fijado ninguna línea aparece el mensaje de:

Lineas del VBI no activadas.

- Si se ha fijado 1 ó varías líneas, se desplegará tanto el/los campos

prefijados y las líneas que contiene la tabla del VBI.

- Por último se despliega el nivel de referencia usados por predefinición

para las señales WST, NABT, CLOCK Y VITC.

COMANDOS: Wcal, Ncal, Ccal y Vcal

Estos comando establecen el nivel óptimo del voltaje de referencia para las

señales de: WST, NABT, CLOCK y VITC. El nivel escogido será el que

proporcione el menor número de errores en la recuperación de datos y por tanto

su decodifícación será desplegada de la manera mas legible posible.

3.1.2 COMANDOS ESPECÍFICOS

Cada uno de estos comandos activan la bandera necesaria para utilizar uno

de los programas principales de decodifícación y cuando éste haya terminado

regresará bajo el mando del programa principal.

- 104-

Page 116: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.1.2.1 COMANDOS PARA CLOSED CAPTÍON.-

Estos comandos después de fijar sus respectivas banderas, para su

ejecución utilizan el programa principal de decodificación CCMAIN. Los

comandos para closed caption son:

COMANDO BANDERA ACTIVADA

CCRAW

CC

CCNP

CCTEXT

CCDEPUR

CCRAW

CCBÜF y CC

CCBUF y CCNP

CCBUF y CCTEXT

CCBUF y CCDEPUR

3.1.2.2 COMANDOS PARA REDES DE TIEMPO.-

Estos comandos después de fijar sus respectivas banderas, para su

ejecución utilizan el programa principal de decodificación CLOCKMAIN. Los

comandos de reloj son:

COMANDO

CLOCKRAW

CLOCK

BAND. ACTIVADA

CLOCKR

- 105 -

Page 117: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.1.2.3 COMANDOS PARAVITC.-

Estos comandos después de fijar sus respectivas banderas, para su ejecución

utilizan el programa principa] de decodificación VITCMAIN. Los comandos para

VITC son:

COMANDO

VITC

VITCRAW

BAND ACTIVADA

VCMD

BAND DESACT.

VCMD

3.1.2.4 COMANDOS PARA WORLD STANDARD TELETEXT (WST)

Estos comandos después de fijar sus respectivas banderas para su

ejecución utilizan el programa principal de decodificación WSTMAIN. Los

comandos para WST son:

COMANDO BANDERA ACTIVADA

FIJA UNA SOLA LINEA DEL VBI

WSTSCAN

WSTPARIDAD

WSTSCAN

WSTPAR

FIJA VARIAS LINEAS DEL VBI

WSTBUFFER

WSTRAW

WST

WSTPAG

WSTBUF y WSTSBUF

WSTBUF y WSTRAW

WSTBUF y WSTPRINT

MODOPAG

- 106 -

Page 118: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Se debe notar que al utilizar el comando WST o WSTPAG se puede

desplegar toda la información extraída del VBI como lo constituyen los caracteres

imprimibles y no imprimibles o sólo los caracteres imprimibles, para lo cual antes

de ejecutar dichos comandos se debe digitar lo siguiente:

COMANDO: Wstnimp

Este comando activa la bandera WST_NIMP de la rutina DESPWST para

desplegar sólo caracteres imprimibles de la información; con lo cual, a los

caracteres de control y gráficos se los transforma en espacios.

COMANDO: Wstinimp

Este comando desactiva la bandera WST_NIMP para desplegar tanto

caracteres de control como caracteres imprimibles.

3.1.2.5 COMANDOS PARA NORTH AMERICAN BROADCAST TELE-

TEXT (NABT)

Estos comandos para su ejecución utilizan el programa principal de

decodificación NABTMAIN después de fijar sus respectivas banderas. Los

comandos para NABT son:

COMANDO BANDERA ACTIVADA

FIJA 1 SOLA LINEA DEL VBI

NABTSCAN

NABTPARIDAD

NABTSCAN

NABTPAR

- 107-

Page 119: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

FIJA VARIAS LINEAS DEL VBI

NABTBUFFER

NABTRAW

NABT

NABTBUF

NABTBUF

y NABTC

y NABTR

NABTBUF y TIPOCMDNA (1)

3.2 PROGRAMAS DE DECODIFÍCACIÓN

Los programas principales de decodificación son necesarios para inicializar

las condiciones de captura de datos de las líneas del Intervalo de Borrado Vertical

para un tipo de señal y para transferir datos desde la memoria de captura a la

memoria de datos (RAM 256KB) para su posterior decodifícación que termina con

el despliegue en pantalla de la información adquirida.

Tomando en cuenta que cada señal viene con un código de trama específico

y un determinado número de datos por línea; es decir, vienen en formatos

diferentes, entonces el programa de decodificación también es diferente uno del

otro, por tanto se ha realizado programas independientes de captura y

decodificación para cada tipo de señal. Estos programas son:

CCMAIN

NABTMAIN y WSTMAIN

CLOCKMAIN y

VITCMAIN.

- 108-

Page 120: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.2.1 PROGRAMA CCMAIN

El programa principal CCMAIN captura los datos de Closed Caption de las

líneas del VBI, los almacena en memoria de captura e indica el momento de la

decodificación de los datos para terminar con el despliegue en pantalla.

Para cumplir con este propósito, a la memoria de datos (RAM externa) se

la ha dividido en varios segmentos como se indica a continuación:

* Buffer de Closed Caption (CC) es un segmento de memoria RAM desde

OOOOH a la 1000H, se la utiliza para almacenar bytes de CC leídos desde la

memoria de captura.

* Buffer de Escritura (Wr) va desde la 1002H a la 2000H, almacena datos

que serán desplegados en pantalla.

* Buffer Caption va desde la 3000H a la 3FFFH, se lo utiliza para almace-

nar datos sólo de caption, es decir se elimina a los caracteres de control.

* Buffer Clean va desde la 4100H hacia adelante, toma datos de buffer

caption y si existe varios espacios en blanco, lo escribe uno y descarta los

demás.

Este programa cuyo diagrama de flujo se puede observar en la fig. 3.2,

cuenta con etapas de:

* Definición de condiciones iniciales como:

- Inicialización de punteros de buffer de: CC, Wr y de Caption así como

también contadores de datos para cada buffer.

- 109 -

Page 121: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

s

UNPIft BAÑO.DE R E C E P C I Ó NV A P A G A UIT 1

Regresa alP agramaPri ncí pal

TOMA UN BIT DEMEM. DE CAPTURA

E INGRESANDO PORLft IZQUIERDA DELACC FORMA UN BVTE

Busca el coditode trama de CC

NO / ES CÓDIGODE TRAMA DECLOSED CAP-

TI OH

90BITS

LEÍDOS

LEE DOS DATOS DE8 BITS CADA UNO

EL 8vo. ES PARIDA

- 110 -

Page 122: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

DESPLIEGADATOS DELREG 1 VREG 3

DESPLIEGACARACTERES

DEL BUFFER WR

RESETEA MEM. DECAPTURA V HABILI-TA INT DE S.VERT.

FIG 3.2 Flujograma del Programa Principal CCMAIN

- 111 -

Page 123: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- Fijado de banderas para tomar datos de caption o de texto para lo cual

activa el estado de buscar comando inicial.

- Fijado de parámetros para extraer datos de la línea 21 del campo impar

(valores dados por predefinición). Si se requiere buscar datos de otras

líneas/campos; antes de ingresar a esta rutina se debe utilizar el comando

NODEFAULT y escoger las líneas/campos deseadas.

- Establecimiento del pórtico Pl.O y Pl.l a 00 para capturar datos de Closed

Caption, es decir, se escoge salidas del multiplexor de datos lentos y reloj

de valor l,006MHz.

- Inicializa condiciones de la memoria de captura, es decir, se ubica en la

dirección O y limpia datos de esta memoria.

- Desactiva la octava salida del pórtico 1, pin P1.7 (línea válida), y

- Por último, actualiza las interrupciones.

Después de especificar éstas condiciones iniciales, se espera que se produzca

una interrupción del sincronismo vertical con subsecuentes interrupciones de

sincronismo horizontal para capturar datos.

Como ya se ha mencionado, el formato que constituye esta señal es:

Reloj Código de trama (A1H) Bit inicial/ 2 caract. ASCII

Una vez obtenida la información de las líneas de un VBI fijado, termina con

la captura de datos y empieza el procesamiento de la información.

- 112-

Page 124: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El proceso de decodificación se inicia tomando bits de la memoria de

captura hasta formar un byte, byte que se lo compara con el código de trama (para

datos de closed caption es igual a OA1H). Un nuevo byte será formado con el

ingreso de otro bit tomado de la memoria de captura por el lado el lado derecho

del byte anterior y eliminado el bit mas significativo.

Si el byte formado no es el código de trama, se forma otro byte con el

ingreso de un nuevo bit y regresa a analizar si es el código de trama; si después de

100 bits tomados no se ha encontrado el código de trama, se inicializa la memoria

de captura y se espera por otro intervalo de borrado vertical.

Si el byte formado es igual al código de trama, es el momento de tomar los

dos caracteres ASCII de 8 bit con 1 de paridad almacenándolos inicialmente en dos

registros del microprocesador; y, dependiendo del comando que haya invocado a

esta rutina se tomarán los datos de los registros y los desplegará en pantalla o

guardará en buffer de CC.

Para la lectura de los 2 caracteres, cabe mencionar - como se ha indicado

en el numeral 1.3.1 - los pulsos de reloj para esta señal es de 1,006976 MHz; pero

después del código de trama, los datos llegarán con una frecuencia de 0,503488

MHz, este problema es solucionado mediante programa, el cual para transferir un

dato hace que se lea 1 bit y salte el siguiente (tanto el bit tomado como el saltado

tienen la misma información). A diferencia del código de trama, los bits menos

significativos ingresan primero para formar el dato.

Dependiendo del comando que ha invocado a esta rutina, se activan las

banderas necesarias para ejecutar este comando.

- 113 -

Page 125: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.2.1.1 COMANDOS QUE UTILIZAN EL PROGRAMA CCMAIN

3.2.1.1.1 COMANDO: CCRAW

Este comando se ejecuta cuando la bandera CCRAW está activada,

para lo cual se toman los caracteres almacenados en los registros R6 / R7 y

despliegan en pantalla en formato Jhexadecimal los 8 bits del datos tal como se los

ha capturado del intervalo de borrado vertical; es decir, este comando no realiza

ningún procesamiento de la información para diferenciar si son caracteres

imprimibles o son caracteres de control. Este tipo de información será desplegada

hasta que se presione una tecla, con Jo cual termina esta rutina y regresa al mando

del programa principal.

COMANDOS DE BUFFER

Se los llama comandos de buffer puesto que inicialmente a los datos de los

registros R6/R7 se los ubica en el buffer de datos de CC para posteriormente

continuar con el procesamiento cíe dicha información. Después de tener algunos

datos en este buffer, la decodificación consiste en tomarlos datos del buffer de CC,

procesarlos de tal manera que dependiendo del tipo de comando digitado se los

ubique en los diferentes buffers y de esta manera desplegar de diferentes maneras

el texto decodifícado. El procedimiento que se sigue es:

Añadir datos al buffet de Closed Caption (ABCC)

Dados los datos almacenados en R6 y R7, se toman los 7 bits menos

significativos y se los ubica en el buffer de CC pero si los datos son iguales a cero

no serán almacenados en dicho buffer (memoria RAM desde la dirección OOOOH

- 114-

Page 126: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

a l a 1000H).

Procesar los datos del buíTer de CC, lo realiza Ja rutina TAB_EST (ver

diagrama de flujo fig 3.2.1) que es la rutina más importantes en este proceso y

ejecuta los siguientes procesos:

1.- Obtiene el comando inicial

2.~ Obtiene los datos de caption

3.- Obtiene el comando final

4.- Procesamiento de Comandos

1-3 OBTCMD: OBTiene los CoManDos de control inicial y final

El procedimiento es el mismo para obtener el comando inicial o comando

final de Closed Caption. Este proceso se basa en analizar si el buffer de

Closed Caption tiene más de 4 caracteres. Si no lo tiene, regresa a que se

almacenen más caracteres, pero de ser cierto se busca secuencias de

comandos de la forma XYXY donde X es un código de control y Y es un

carácter imprimible, esta secuencia es buscada porque cada comando de

control de closed caption vienen por duplicado. Cuando el comando no ha

sido encontrado, sale de la rutina TAB_EST.

Si esta secuencia ha sido encontrada, se almacenan los resultados en 2

direcciones diferentes, una (CMDO) para el carácter de control y la otra

(CMD1) para el carácter imprimible; a éstos valores se los compara con una

tabla de códigos, (ver TABLA completa en la descripción de Closed

Caption) función que lo realiza la subrutina TIPOCMD que utiliza los

siguientes comandos de control:

- 115 •

Page 127: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CARACT DECONTROL

^T

FS

CARACTIMPRIM

PPRrTtVV

XX

Zzr

e+-&,/\

ppRr

CARACT DECONTROL

AQ

AS

ESCAPE

CARACTIMPRIM

RTtV

'e.7&

PPRrTtVV

X

z

pr

Además hay que notar que en la emisión de Closed Caption se tiene:

* El canal principal de CC que se habilita con los caracteres de control:

^T&, XNT<espacio>) ^T/, ^T%y ^T,. Las otras combinaciones de ~T,

^Q y ^S son códigos de control necesarios para capturar datos caption

(comando de inicio de caption) o desplegar los mismos (comandos de final

de caption) para luego esperar por otra línea de Closed Caption. Este

cana] se deshabilita con cualquier comando FS, ESC y

- 116 -

Page 128: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

* El canal de texto de CC que se habilita con ^T-f, lo deshabilita cualquier

comando: FS, ESC, ~T-, ^T&, ~T/, ^T<espacio>,

SUBRUTINfl

TfiB_EST

ACTUALIZALfi BANDERA

ESTADO

BUSCACOMANDOI N I C I A L

B U S C ADATOS DECflPTION

NO DATOSDE BUFFERCC > 4

DATOS \SIDE BUF. CC >—M RET

= G

SACA C A R Á C T E RDEL BUFFER DEDATOS DE CC.

LEE SECUENCIAXVXV DEL BUF.DE DATOS CC.

CARACT.\0I M P R I M I B L E

SECUEN-\OCÍA ENCON-

TRADA

A L M A C E N A ELCHEQUEA V OBTIENEEL TIPO DE COMAN-

DO DE CONTROL B U F C ñ P T I O N

C M D O DE CTRL

->-CMD INICIAL

SETEfl PARABUSCARCMDO FINAL

SETEA PARABUSCAR DATOSDE CAPTION

- 117-

Page 129: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

fiNADE UN ES-PflCIO EH BU-FFER C A P T I O H

ESTADO

LEE SECUENCIAXVXY DEL BUF.DE DATOS CC.

SECUEN-CIA ENCON-

TRADA

CHEQUEA V OBTIENEEL TIPO DE COMAN-

DO DE CONTROL

COMANDODE INTE-RRUPC.

SETEA PARAPROCESAR

DATOS DE CC

FINAL—>•

CMD. I N I C I A L

RESETEA EL

BUF. CftPTIOfí

SETEA P A R ABUSCñR D f t T O S

DE C A P T I Q N

—>• E S T A D O

- 118 -

Page 130: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

osERN

ESCRIBE EN BUFFERCLEftN UN r ' ySALTA LOS DEHAb

COIIAHDO

CCDEPUR

A C T I V A D O EL\OCfiHAL PRINCIPAL

OE CñPTIOH

NO /CQNAIIDQ INIC I A L = C O M A N D O

DE INICIOOE CC

BUFFER DE

CtIDO INICIAL

TOMA DATOS DELBUFFER CAPTIQN

BUFFER DE

DATOSEN EL BUFFERCAPTION- O

ESCRIBE EN EL

BUFFER DE UR.

CrtDQ, FINAL

ATO =

r>"\SI ESCRIBE EN EL BU-

FFER DE HR. UN<CRLF> Y EL DATO

J NO

E

- 119 -

Page 131: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

TOMA DATOS DEL BUFFER CLEAN HASTAFO R M A R 1 P A LABRA

P A L A B R ASOBREPASA

COLUMNA 76 DELINEA DE

DATOS

ESCRIBE ENBUFFER NR.

<CRLF>

ELUN

ESCRIBE LA PALA-BRA COMPLETA ENEL BUFFER WR.

DATOS DELBUFFER CLEAN

= 0

- 120-

Page 132: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

osERII

ESCRIBE EN BUFFERCLEAH UN tf" ' ySALTA LOS DEMÁS

ACTIVADO ELXNOCANAL DE TEXTO

DE CAPTIQN

C O M A N D O I H ICIfiL = COMANDO

D E I H I C I ODE CC

TOHft D A T O SDEL BUFFER

C A P T I O I I

TOtIA D A T O S DELBUFFER C A P T I O Í i

BUFFER DE

D A T O SEH EL BUFFER

CAPTIOH- 8

D A T O SDEL BUFFER

CLEAH=0

FfG. 3 .1 Flujograma de la rutina Tabla de Estados

- 121 -

Page 133: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

2.- OBTCAPT: OBTiene CAPtion

Una vez obtenido el comando de inicio de closed caption se toma los

caracteres imprimible del buffer de CC y los coloca en el buffer de

CAPTION. Si se ha obtenido todos los caracteres imprimibles del buffer

CC continúa con el procesamiento de la información, pero si se encuentra

un carácter de control regresa al paso OBTCMD para saber el tipo de

comando de control y continuar capturando datos al buffer caption o

proceder con el comando en ejecución.

4.- Procesamiento de comandos

Una vez identificados los comandos de control y los caracteres imprimibles

es más fácil iniciar el proceso de formatear los datos de acuerdo al comando

en ejecución y ubicarlos en el buffer de escritura para su posterior

despliegue en pantalla.

Los comandos que siguen este proceso son:

3.2.1.1.2 COMANDO: CCDEPUR

Este comando se ejecuta cuando la bandera CCDEPUR está activada

y sirve principalmente para depuración, porque este comando toma los datos de

comando inicial, comando final y del buffer Caption para almacenarlos en el buffer

de escritura y luego ser desplegado con el siguiente formato:

(codo inicial) (blanco) (Datos sólo de caption) (blanco) (cmdo. final) (CR)(LF)

- 122-

Page 134: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.2.1.1.3 COMANDO: CC

Este comando se ejecuta cuando la bandera CC está activada y sirve

para desplegar el contenido del canal principal de caption. El formato con el que

se almacenan los datos en el buffer de escritura ha de ser líneas de máximo 76

caracteres que contengan palabras compjetas y exista separación entre párrafos.

Este comando ejecuta el siguiente procedimiento:

Toma palabras completas del buffer caption y los coloca en el buffer clean

pero cuando encuentra múltiples espacios en blanco en el buffer caption

sólo coloca uno de ellos en el buffer clean y los demás los ignora hasta

encontrar un nuevo carácter caption que será el inicio de una nueva palabra,

con esto se logra tener un sólo espacio de separación entre palabras.

Las pausas entre párrafos serán generadas cuando la información del

caption inicie con ">", esta información será tomada del buffer clean para

transferir un <CRLF> como inicio de] párrafo de datos en el buffer de

escritura.

Por último, toma datos del buffer clean y los almacena en palabras

completas formando líneas de máximo 76 caracteres en el buffer de

escritura, una vez llegada la palabra al margen derecho se coloca un

<CRLF> y se continúa almacenando datos en buffer de escritura con el

formato descrito.

3.2.1.1.4 COMANDO: CCNP

Este comando se ejecuta cuando la bandera CCNP está activada y

sirve para desplegar el contenido del canal principal de caption. Su funcionamien-

to es semejante al comando CC con la diferencia que éste comando no genera

- 123 -

Page 135: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

pausas entre párrafos, sino entre líneas, es decir transfiere los datos que han sido

almacenados en el buffer clean al buffer de escritura hasta encontrar el caracteres

de control de fin de línea caption, indicativo esperado para desplegar dicha línea

de caption del buffer de escritura para luego continuar con el almacenamiento de

una nueva línea caption.

3.2.1.1.5 COMANDO: CCTEXT

Este comando se ejecuta cuando la bandera CCTEXT está activada

y sirve para desplegar el contenido del canal de texto de closed caption. El

proceso se inicia cuando la bandera en modo texto esté activada, y continuar

tomando datos del buffer caption y ubicarlos en el buffer de escritura.

Una vez que cada uno de los comandos ejecutan lo descritos, todos llegan

a mantener momentáneamente la información en el buffer de escritura; pero para

concluir la decodificación de las líneas capturadas se debe mostrar la información

en pantalla, para esto se toma datos del buffer de escritura y se los despliega en

pantalla.

Una vez cumplido con el despliegue de la información en pantalla (con

cualquiera de los comandos), se inicializa la memoria de captura, habilita la

interrupción de sincronismo vertical y regresa por otro intervalo de borrado vertical

para nuevamente repetir el ciclo.

Esta rutina de decodificación termina cuando se haya presionado en

cualquier instante una tecla. Al detectar que se ha presionado una tecla,

deshabilita la interrupción de sincronismo vertical y regresa al programa principal

en espera que se digite una nueva instrucción.

- 124-

Page 136: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.2.2 PROGRAMA NABTMAIN

El programa principal NABTMAIN es usada para leer y procesar datos de

señales NABT (North American Broadcast Teletext) para esto se ha dividido a la

memoria de datos en tres secciones, las cuales son:

* Buffer de datos NABT es un segmento de memoria RAM desde OOOOH

a la 61F8H, se la utiliza para almacenar bytes de datos NABT leídos de la

memoria de captura, es decir 5 bytes de control y 28 bytes de datos por cada

línea capturada.

* Buffer TEXTONABT, es una sección que va desde la 6200H a la 7BFFH,

sirve para almacenar los 28 caracteres de datos de cada línea tomados del

buffer de datos.

* Buffer FORMATODESP, es una sección que va desde la 7COOH a la

7FD8H, sirve para almacenar el formato de datos para desplegar en

pantalla, esto es, almacenar páginas de 24 líneas por 41 caracteres.

Este programa cuyo diagrama de flujo se puede ver en la fig. 33, empieza

con un proceso de inicialización de parámetros de datos NABT que consta de:

- Un mensaje de presionar cualquier tecla si se desea salir.

- Analiza si es comando de calibración, dependiendo de Ja respuesta se fija

el nivel de Vrefl a utilizar.

- Encera contador de errores de paridad para contabilizarlos bytes de datos

erróneos adquiridos en una línea del intervalo de borrado vertical.

- 125 -

Page 137: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

PROGRAMA NABTMAIN

DA UN HIVELD E V r e f I N I C I A L

CALIBRA COMEL ULTIMO

NIVEL USADO

RESETEA ELC O N T A D O R D E

ERRORES

SETEO DECONDICIONESINICIALES

FIN DELINTERVALO

DE BORRADOVERTICAL

/NUMERO DE LI-(NEAS DEL VB1 AX CAPTURAR

1 r

R E S E T E ANEU. DEC A P T U R A

A P A G A INT 1LIMPIA B A M D .DE RECEPCIÓN

- 126 -

Page 138: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

TOÍ1A 1 BU DE flEHDE C A P T U R A V FOR-MA Utl B V T E EH 'a'

REAL IZA

C A L I 8 R A C .

LEE 1 DATO DE 8BITS V ALMACENA

EN BUFFER DE DATO

BUSCA EL CÓ-D I G O DE TRAÍ1A

Sí /COHftNDQN- SIDE CALIBRA-

CIÓN

- 127-

Page 139: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

„ tOMANDON, SI:DE C A L I B R A D

C I O H

N O

'COMANDITNABT-SCAH

NO

^COMANDONA8T-

J > A R I T V

SI

SI

„ TOI1ANDO\OfDE BUFFERS

SI

„ 'SE HAN'ALMACENADO760 LINEAS DE.33 CARACTE-^

RES

SI

NO

TOMANDONABT-RAW

SI

REALIZA

CALIBRACIÓN

DESPLIEBA 1LINEA SINERRORESRUTINA

NABTSCBUF

DESPLIEGA EL NU-MERO DE ERRORES

DE PAR I D A D EN UNALINEA, LO HACE

R U T I N A C O Í 1 T P A R I D

f-M-

TOMA DATOS DELBUFFER DE DATOS

V N U E S T R A EN PAÍ IT

NO /SE HAN N. SILEÍDO 2508G

DATOS

- 128 -

Page 140: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

COMANDONABT-

BUFFER

SE HANL E Í D O 25080

D A T O S

COMANDOS NON A B T -TEXT

FIG. 3,3 Flujograma del Programa Principal NABTMAIN

- Fija el pórtico Pl.O y Pl.l a 01 para capturar datos de NABT, es decir, se

escoge salidas del multiplexor de datos rápidos y reloj de valor 5,72727 MHz

- Iniciáliza condiciones de memoria de captura y el buCíer de datos,

desactiva la salida del pórtico P1.7 (línea válida).

- Por último se actualiza las interrupciones de sincronización.

- 129 -

Page 141: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Después de establecer las condiciones iniciales, se espera por una interrup-

ción de sincronismo vertical con subsecuentes interrupciones de sincronismo

horizontal.

El formato de los datos a capturar en e] VBI lo constituyen bits de:

Reloj Código de trama (E7H) 33 caracteres

Al retornar de las interrupciones, registra cuantas .líneas de captura se han

fijado antes de ingresar a esta rutina, luego se busca el código de trama con el

mismo procedimiento que se siguió en la rutina de CCMAIN, siendo el código de

trama para este caso igual a OE7H.

Si después de 255 bits (tomados desde la memoria de captura) no se ha

encontrado el código de trama; y si el comando de calibración ha invocado a esta

rutina, al contador de errores se lo pone en su máximo valor (33) para iniciar el

proceso de calibración repetidamente hasta obtener errores cercanos o igual a cero

con lo que termina el proceso de calibración y regresa al programa principal. Cada

vez que no se encuentre e] código de trama y se ejecute o no el comando de cali-

bración, se inicializa condiciones de memoria de captura, actualiza interrupciones

y regresa por otro intervalo de borrado vertical.

Si el código de trama ha sido encontrado, se transfieren 33 caracteres de 8

bits desde la memoria de captura al buffer de datos. Cuando se inicia el proceso

de transferencia de datos desde la memoria de captura al buffer de datos, a cada

uno de los primeros 33 caracteres se los compara con una tabla de paridad

almacenada en memoria de programa para contabilizarlos datos errados existentes

en dicha línea, en caso de existir errores, el contador se incrementará por cada dato

- 130 -

Page 142: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

errado; este valor del contador de errores será utilizado por el comando de

calibración.

Si han sido transferidos todos los caracteres de una línea NABT, y

dependiendo del comando que ha invocado a esta rutina se puede dar inicio al

procesamiento de la información o repetir el proceso anteriormente descrito hasta

haber almacenado en buffer de datos 760 líneas e iniciar la decodificación.

3.2.2.1 COMANDOS QUE UTILIZAN EL PROGRAMA NABTMAIN

3.2.2.1.1 COMANDOS NABT-SCAN Y NABT-BUFFER

Los comandos NABT-SCAN y NABT-BUFFER se ejecutan cuando

las banderas NABT-SCAN y NABT-BUFFER respectivamente estén activadas.

Ambos comandos para su ejecución utilizan la rutina NASCBUF (cuyo diagrama

de flujo se puede ver en la fig. 3.3.1), pero su diferencia está en que el primer

comando despliega una línea NABT, mientras que el segundo comando se ejecuta

después de que se haya almacenado en el buffer de datos NABT 760 líneas.

El comando NABT-SCAN despliega una línea NABT sin errores de paridad

por cada VBI pero en caso de existir errores regresa al programa

NABTMAIN sin desplegar nada para Juego capturar otra línea del siguiente

VBI y repetir el mismo procedimiento.

- 131 -

Page 143: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

RUTINA

NflSCBUF

TOMA 1 TRAMA DE33 CARACTERES DELBUFER DE DATOS

CUENTA EL ftDE ERRORESDE PARIDAD

COMANDODE

BUFFER

EXISTE \O0 ERRORESDE PARÍ

DAD

IMPRIMEEL DATO HA

IH6 EliF O R M A T OHEXADEC.

SE HAN

LEÍDO 5 DATOS

H A M M I N G

- 132-

Page 144: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

T Q H A EL S I G U I E N T E

D A T O DE LA TRAMA

HO /PAGINA \I

I N I C I A L

DESPLEGAEN P A N T A L L A

( EL A S C I I CO\RRESPONDIEII

VTE AL DATO

¡ D E S P L E G A.OS D A T O S1AHMIHG EN

F O R M A T OHEfiDEC.

SE HANNO / LEÍDO 28

DATOS DE LATRAhA

EXISTE \I0 ERRORESDE PAR!

DAD

DESPLIEGA EL\t DE ERRORES

OCURRIÓOS /

FIG. 33.1 Flujogramas de los comandos NABTSCAN y NABTBUFFER

El comando NABT-BUFFER despliega líneas de datos NABT cuando el

buffcr de datos NABT este lleno. Este comando despliega en pantalla

línea por línea con o sin errores de paridad. Si existe errores en una línea,

despliega la línea e indica cuantos errores se han producido pero si no existe

errores sólo despliega la línea NABT. Este procedimiento termina cuando

se hayan desplegado las 760 líneas o cuando se haya presionado cualquier

tecla.

- 133 -

Page 145: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El formato con el que cada línea se despliega en pantalla consta de: 5 bytes

de códigos hamming y 28 bytes de datos representados de la siguiente forma:

Pl P2 P3 CI PS (28 BYTES DE DATOS )

donde: P1-P3 Bytes de direcciones del paquete (HEX)

CI Byte de índice de Continuidad (HEX)

PS Byte de estructura del paquete (HEX)

Si el byte PS indica que ja trama tomada es una línea de DATA HEADER,

entonces todos los bytes de esa línea son códigos hamming y por tanto serán

desplegados en pantalla por su equivalencia en formato hexadecimal.

3.2.2.1.2 COMANDO NABT-PARIDAD

El comando NABT-PARIDAD se ejecuta cuando la bandera

NABTPAR está activada. Este comando utiliza una línea NABT por cada

intervalo de borrado vertical y sirve para desplegar cuantos errores de paridad

existen en una línea NABT capturada o que es lo mismo indica cuántos caracteres

en esa línea tienen paridad par, el valor que indica este número será dado en

formato decimal. Igual que en los casos anteriores este comando termina cuando

se haya presionado cualquier tecla.

3.2.2.1.3 COMANDO NABT-RAW

Este comando se ejecuta cuando la bandera NABTRAW está

activada, para lo cual se debe esperar que el buffer de datos haya almacenado 760

líneas NABT y proceder con el despliega de cada carácter tal como haya sido

- 134-

Page 146: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

almacenado en dicho buffer; es decir, despliega el carácter de 8 bits, siendo el

octavo bit el de paridad. Una vez que todo el buffer haya sido desplegado, éste

será nuevamente llenado para iniciar un nuevo ciclo. Este comando se ejecutará

hasta que se haya presionado una tecla.

3.2.2.1.4 COMANDOS NABT

Este comando se ejecuta una vez que el buffer de datos NABT este

lleno y se halle activada la bandera NABTTEX. Para su ejecución se utiliza la

rutina NAPROCESO cuyo diagrama de üujo está dado en la fig. 3.3.2.

Para ejecutar esta rutina se toma tramas NABT sin errores de paridad,

analiza el byte de estructura del paquete (quinto byte de la trama NABT) con la

finalidad de buscar la primera línea de la página inicial, una vez encontrado el

inicio de una página se almacena en el buffer TEXTONABT la cabecera de datos

(data header) y la cabecera de grabación (record header) de la línea inicial y por

último, se almacenan los bytes de datos de las siguientes tramas que fueron

tomadas del VBI, este almacenamiento de datos se repite hasta que se encuentre

una nueva página.

Cuando se haya almacenado una página completa en el buffer TEXTO-

NABT se inicia el procesamiento de la misma basada en dos puntos:

1.- Se decodifica la primera línea de la página, es decir se decodifica los 8 bytes

del grupo de cabecera de datos y los bytes de longitud variable de la

cabecera de grabación. La decodificación se ]a ejecuta analizando estos

datos de la siguiente manera;

- 135 -

Page 147: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

R U T I N A

NAPROCESO

TOMA DEL BUFFERDE DATOS LA PRI-M E R A T R A M A QUE NOTENGA ERRORES DE

P A R I D A D

LEE EL BVTEDE ESTRUCTURADE PAQUETE

PASA LOS 29DATOS RESTAN-TES DE TRAMA

SI

INIC I A L I Z A EL BU-FFER TEXTONABT VENCERA EL CONTA-

DOR DE LINEASNABT LEÍDAS

ALMACENA LOS 28iVTES DE DATOS ENiUFFER TEXTONABT

REGRESA PARALLENAR EL BU-FFER DATONABT

TOMA LA SI-GUIENTE

TR A M A NABT

- 136-

Page 148: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ACTUALIZA LA DI-RECCIÓN DE LA

ULTIMA LINEA DELBUFFER DE DATOS

NABT LEÍDOS

TOMA EL BVTE DECO N T I N U I D A D DE LASIGUIENTE LINEADEL BUFFER DEDATOS NABT

CONTADODE LINEA r

BYTE DECONTINUIDAD

LEE EL BVTEDE ESTRUCTURADE PAQUETE

ALMACENA LA DIR.DE LA ULTIMA LI-NEA LEÍDA DEL BU-

FFER DE DATOSHftBT

para i n i c i a r e! procesamiento

de la pagina almacenada en el

buffer textonabt

TOMA LA PRIMERATRAMA ALMACENADAEN EL BUFFER TEX-TONABT Y DECGDI-FICA EL GRUPO DE

DATA HEADER VRECORD HENDER

- 137 -

Page 149: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CALCULO DELNUMERO DE

BVTES x PAGs.

1 r

AI M P R I M E LA\ LQNG. DE LA \ PA8 EN BVTES

\ LOS BVTES /\DATA HEADE/

CALCULO DEL

CHECKSUM

I M P R I M E

CHECKSUMDESPLEGAR(S/H) ?

RUTINAIMPRIME-

TEXTO

Í1G. 33^ Flujograma de la Subnitina NAPROCESO

- 138 -

Page 150: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

* Los 8 bytes de Ja cabecera de datos los clasifica en:

- Tipo del grupo de datos (1 byte)

- Continuidad (1 byte)

- Repetición (1 byte)

- Tamaño (2 bytes)

- Tamaño del bloque final no Cero (2 bytes)

- Ruteador de la Red (1 byte)

* Los bytes de la cabecera de grabación (RH) los analiza en:

- Tipo de la cabecera de grabación (1 byte).

- Byte de información que indica si existe o no:

- Extensión de direcciones (bit 0)

- Enlace del RH (bit 1)

- Clasificación Secuencial (bit 2)

- Extensión del Campo Header (bit 3)

- Direcciones 1, 2 y 3 (un byte por dirección) y en caso de existir

Extensión de direcciones se tendrá las Direcciones 4, 5, 6, 1, 8 y 9.

(Esta información se la utiliza para desplegar el número de página

y subpágina que se está decodificando).

- Si existe Enlace del RH se tendrán 2 bytes para enlazar la

información.

- Si existe bit de Clasificación Secuencial se tendrá un byte que

informa sobre la presencia de:

- 1 bytes que dependiendo del valor de sus bits O, 1 y 2,

tomará 1 o más bytes adicionales.

- 139 -

Page 151: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

-Si después de analizado el byte anterior se tiene que su Ser.

bit está en 1, entonces regresa a buscar más bytes de

clasificación secuencial.

- Si existe Extensión del Campo Header se tendrá:

- Un byte que informa la existencia de múltiples extensiones

de] campo header.

- Y un siguiente byte que informa el significado de las

extensiones del Campo Header mediante mensajes como:

Más, Próximo, índice, Precaptura y Desconocido.

La decod.ificación de la cabecera de datos y de la cabecera de grabación es

de mucha importancia puesto que señala el inicio de los bytes de datos de

información necesarios para formar el texto, es decir, elimina los bytes de

control. Se realiza este proceso debido a que la Cabecera de grabación

(Record Header) es de longitud variable y no se puede predecir el inicio de

los bytes para formar el texto hasta que esta línea haya sido decodificada.

2.- Una vez localizado el inicio de bytes de información y antes de que cada

página sea desplegada aparece en pantalla la siguiente información:

PAGINA XX-X (CHECKSUM) DESPLEGAR (S/N) ?

Indica que Ja página a desplegar es Ja número 'XX-X5 con un valor del

checksum de (CHECKSUM).

Esta opción nos permite digitar las teclas: N, S o la barra espadadora.

- 140-

Page 152: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Si se dígito la letra 'N;, o se encontró cualquier tipo de error en el

procesamiento de la página o cuando se haya desplegado en pantalla todo

el buffer TEXTONABT, no desplega nada en pantalla y repite nuevamente

todo el procedimiento descrito con nuevos datos tomados a partir de la

dirección en donde se encontró el inicio de una nueva página en el bufffer

de datos Nabt.

Si se presionó la barra espadadora, sale de la ejecución de este comando

regresando bajo el mando del prograna principal.

Si se ha presionado la letra JS', este comando generará un texto totalmente

legible en pantalla.

El proceso para desplegar el texto en pantalla se lo ejecuta con la subrutina

IMPRIME_TEXTO que se lo representa en el diagrama de flujo de la fig. 3.3.3,

esta subrutina realiza:

- La limpieza del buffer FORMATODESP, buffer que será utilizado para

almacenar páginas completas de 24 líneas por 41 caracteres.

- Toma datos del buffer TextoNabt sin bit de paridad, los cuales pueden ser de 2

tipos:

- Modo texto, almacena en el buffer FORMATODESP palabras que tengan

la dirección de izquierda a derecha con lo que desecha caracteres en otras

direcciones. Después de transferir todos los datos del buffer TEXTO-

NAJBT al buffer FORMATODESP, se desplegará una página de 24 líneas

por 41 caracteres y regresa para procesar una próxima página. Igual que

en los casos anteriores, este comando se ejecutará hasta que se haya

presionado cualquier tecla.

- 141 -

Page 153: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ULTIhO BYTEEl! BUFFERTEXTOÍIABT

despliega ebuf formatodesp

DESPLIEGALINEA DE

41 CARACTE-RES PORLINEA

SEftN DES

PLEGADO 24LINEAS

COLOCA EL DA-TO EN EL BUF.FORNATDESP

i

EL SENTIDODE ESCRITURA ES

DE IZO,DERECHA

- 142-

Page 154: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

C O M A N D OTEXTONABT

NO

SI

TOMA EL SIG.DATO DEL BUF.

TEXTÜNABT

INFORMA-DE LA DIREC-DE ESCRITURA

LOS GRADOS DER O T A C I Ó N

OBTIENEC I OCIO

o1r

T O M A EL S I G .D A T O DEL BUF.

TEXTOHABT

EL DATO ESCARÁCTER

I M P R I M I B L E

NO

FIG 33-2.1 Flujograma de la subrutina 1MPRIMEJTEXTO

- 143 -

Page 155: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- Modo Gráfico, en realidad sólo decodifica e] texto debido a que todavía

existe problemas en la codificación de gráficos dentro del intervalo vertical

de borrado.

Al decodificar el Texto, se encuentra información de los grados de rotación

y Ja dirección que deben seguir los caracteres, luego despliega caracteres

imprimibles hasta hallar un carácter no imprimible y regresar a buscar en

el buffer TEXTONABT un nuevo dato y repetir el análisis hasta aquí

descrito. Igual que en los casos anteriores este comando se ejecutará hasta

que se haya presionado cualquier tecla.

Cuando el comando no ha podido cumplir su función, regresa al programa

NABTMAIN a verificar si se han leído todas las líneas del VBI fijadas, de no ser

así, regresa a leer otra línea almacenada en memoria de captura y repite el proceso

comenzando con buscar el código de trama; pero si se ha terminado todas las

líneas, se inicializa condiciones de memoria de captura, actualiza interrupciones y

regresa por otro intervalo de borrado vertical.

- 144-

Page 156: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.2.3 PROGRAMA WSTMAIN

El programa principal WSTMAIN es utilizado para leer y procesar datos de

señales WST (World System Teletext) para esto se utilizan 2 secciones de la

memoria de datos, las cuales son:

- Buffer de datos WST es un segmento de memoria RAM desde Ja OOOOH

a 7BC8H, utilizada para almacenar bytes de datos WSTJeídos de la memo-

ria de captura, es decir 2 bytes de control y 32 bytes de datos por cada línea.

- Buffer FORMATODESPW, es una sección que va desde la 7COOH a la

7FCOH, allí se almacenan datos para desplegar en pantalla páginas de 24

líneas con 40 caracteres por línea.

Este programa utiliza el diagrama de flujo de la fig. 3.4, diagrama muy

similar al utilizado en NAJBTMAIN puesto que ambas señales son propias de

Teletexto. WSTMAIN está constituido por:

- Un mensaje de presionar cualquier tecla si se desea salir.

- Analiza si es comando de calibración, dependiendo de 3a respuesta se fija

el nivel de Vrefl a utilizar.

- Encera contador de errores de paridad para contabilizar los bytes de datos

erróneos adquiridos en una línea del intervalo de borrado vertical.

- Fija el pórtico Pl.O y Pl.l a 01 para capturar datos de WST. es decir, se

escoge salidas del multiplexor de datos rápidos y reloj de valor 5,72727 MHz

- 145 -

Page 157: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

DA UN NIVELDE Vref I N I C I A L

CALIBRA CONEL ULTIMO

NIVEL USADO

RESETEA ELCONTADOR DE

ERRORES

SETEO DECONDICIONESINICIALES

FIN DELINTERVALO

DE B O R R A D OVERTICAL

APAGA INT 1L I M P I A BAND.DE RECEPCIÓN

NUMERO DE LI-NEAS DEL VBI A

CAPTURAR

1 '

R E S E T E AMEÍ1. DEC A P T U R A

- 146 -

Page 158: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

R E A L I Z A

CALIBRAC,

1 i

TOMA I BIT DE HEHDE CAPTURA Y FOR-

BVTE EN

NO / ES ELCÓDIGO DE

TRAMANST

SE HANLEÍDO 255

BITS

COMANDODE CALIBRA-

CIÓN

LEE I DATO DE 8BITS V ALMACENA

EN BUFFER DE DATO

BUSCA EL CÓ-DIGO DE TfiftHA

- 147-

Page 159: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CQHANDOX SIDE CfiLIBRA-

CIOH

CQtlANDO

HST PAG

BUSCALINEA INI-CIAL DE LAPfiG. DIGI-

TADA

SE HfiHñLflfiCEHADO

00 LIIIEAS

PROCESA DATOSUSA LA RUTIHAHSTFORMfiTO

COMPARA EL NUMERODE PAG, DIGITADACOII EL HUMERO DEPfiGINA DE LA LI-

HEñ CAPTURADA

SETEA PARA HOBUSCAR LINEA INI-CIAL E INICIARA ALMACENAR 180LÍNEAS

DESPLIEGA ILIÍIEA SINERRORESRUTINA

HSTSCBUF

DESPLIEGA EL NU-MERO DE ERRORESDE PARIDAD EN-CONTRADOS EliUIIA LINEA

- 148-

Page 160: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

COHANDOX NODE BUFFERS

FUI DEL^ SIDE LINEASDEL VB!

SE HANALMACENADO

932 LINEAS DE34 CARACTE-

RES

TOMA DATOS DELCOMANDONST-RAW

BUFFER DE DATOSMUESTRA EN PANT

COMANDOMST-

BUFFER

RUTINA

USTSCBUF

NO /SE HAN \ILEÍDO 932LINEAS

PROCESA DATOSUSA LA RUTINAHSTFORMATO

FIG. 3.4 Flujograma del Programa Principal WSTMAIN

- 149 -

Page 161: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- Inicializa condiciones de memoria de captura y el buffer de datos, se desactiva la

salida del pórtico P1.7 (línea válida) y por último se actualiza las interrupciones de

sincronización.

Después de especificar éstas condiciones iniciales, se espera por una

interrupción de sincronismo vertical con subsecuentes interrupciones de

sincronismo horizontal.

El formato de los datos a capturar del VBI lo constituyen bits de:

Reloj Código de trama (E4H) 34 caracteres

Al retornar de las interrupciones, registra las líneas de captura fijadas antes

de ingresar a esta rutina y busca el código de trama de igual manera que se ha

descrito en los casos anteriores, siendo en este caso el código de trama igual a

OE4H.

Si después de 255 bits (tomados desde la memoria de captura) no se ha

encontrado el código de trama, se inicializa condiciones de memoria de captura,

actualiza interrupciones y regresa por otro intervalo de borrado vertical. Pero si

el comando de calibración está ejecutando esta rutina, al contador de errores se lo

pone en su valor máximo (34) para iniciar el proceso de calibración repetidamente

hasta obtener errores cercanos o igual a cero con lo que termina el proceso de

calibración y regresa al programa principal.

Si el código de trama ha sido encontrado, se transfieren 34 caracteres de 8

bits desde la memoria de captura al buffer de datos. Cuando se inicia el proceso

de transferencia de datos desde la memoria de captura al buffer de datos, a cada

uno de los primeros 34 caracteres se los compara con una tabla de paridad

- 150 -

Page 162: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

almacenada en memoria de programa (la misma que se utilizó para datos NABT)

para contabilizar los datos errados existentes en dicha línea, en caso de existir

errores, el contador se incrementará por cada dato errado; este valor del contador

de errores será utilizado por el comando de calibración.

Si han sido transferidos todos los caracteres de una línea y, dependiendo del

comando que ha invocado a esta rutina (como se ha explicado en el programa

principal) se puede dar inicio al procesamiento de la información o repetir e]

proceso anteriormente descrito basta haber almacenado en buffer de datos páginas

de 100 o 932 líneas e iniciar la decodificación.

3.2.3.1 COMANDOS QUE UTILIZAN EL PROGRAMA WSTTMATN

3.23.1.1 COMANDO WSTPAG

Este comando es diferente a los demás puesto que al ser digitado

este comando, le pide al usuario ingresar el número de páginas que desea desplegar

con lo cual activará la bandera MODOPAG del programa principal WSTMAIN,

bandera que guiará el camino para ejecutar este comando.

Antes que este comando ingrese al programa WSTMAIN, ejecuta la rutina

OBTPAG para permitir al usuario ingresar por teclado el número de página a

desplegar, este número consta de 3 dígitos que deben estar dentro del rango de 100

a 399.

Una vez dentro del programa principal WSTMAIN, se transfiere al buffer

de datos WST una línea del VBI almacenada en memoria de captura, línea

utilizada para.buscar el inicio de la página que se desea desplegar, este proceso se

repite con varias líneas hasta encontrar la línea inicial de la página deseada.

- 151 -

Page 163: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Cuando se haya encontrado el inicio de la página se almacenarán 100 líneas WST

en el buffer de datos para iniciar su decodificación, esta decodificación permitirá

obtener un texto totalmente legible que será desplegado en pantalla, esto se lo

realiza con la rutina WSTFORMATO (rutina que será detallada mas adelante).

3.2.3.1.2 COMANDO WSTSCAN y WSTBUFFER

Su explicación es la misma que se dio para los comandos

NABTSCAN y NABTBUFFER como se puede ver en Ja fig 3.4.1, pero su

diferencia radica en el formato de presentación puesto que esta señal contiene 2

caracteres de control por línea y 32 de datos y cuando es línea inicial tiene 8 bytes

de Cabecera de Datos; por tanto el formato de cada línea será desplegada de la

siguiente manera:

# DE PAG. # DE LINEA <LTNEA DE DATOS>

Si una "G" sigue al número de línea se trata de una línea GEARING. Los

datos consistirán sólo de caracteres imprimibles por lo que los caracteres de control

serán reemplazados por espacios.

Si se trata de la línea O, es una línea de Cabecera de Datos cuyos caracteres

son códigos Hamming (como los utilizados para la señal NABT), los mismos que

serán desplegados en dígitos hexadecimales.

3.2.3.1.3 COMANDO WSTPARIDAD

Este comando se ejecuta cuando la bandera WST-PAR está activada

e igual que el comando NABTPARIDAD, despliega cuántos caracteres en una

línea WST tienen paridad par.

- 152-

Page 164: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

RUTINA

WSTSCBUF

TOMA 1 TRAMA DE33 CARACTERES DELBUFER DE DATOS

CUENTA EL ttDE ERRORESDE P A R I D A D

EXISTE0 ERRORESDE PAR!

DAD

TONA LOS 2 PRIME-ROS BVTES DE LATRAMA NST V OBTIEN E r # DE LINEA,CANAL V BIT GEAR

\:

» DE CANAL ]t* DE PAGINABIT GEARI"

GINA/RIN/

TOMA EL SIGUIENTEDATO DEL BUFFER

DE DATOS

DESPLIEGAN.LOS DATOS \G EN

FORMATO IHEXADEC. /

DESPOJA ALDATO DEL BITDE P A R I D A D

- 153 -

Page 165: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

I M P R I M E \N ESPACIO

EN BLANCO

I M P R I M E \U ACII j

EQUIVALEN!/

EXI3!E0 ERRORESDE PARÍ

DAD

DESPLIEGA EL\H DE ERRORES

O C U R R I D O S I

FJG. 3.4.T Fliíjograma de la Subrut ina WSTSCBUF

3.2.3.1.4 COMANDO WSTRAW

Este comando se ejecuta cuando la bandera WST-RAW está activada

y al igual que el comando NABT-RAW, se ejecuta una vez que el buffer de datos

haya almacenado 932 líneas WST para luego continuar con el despliegue de datos

de dicho buffer sin realizar ningún procesamiento de datos; es decir, despliega los

- 154 -

Page 166: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

8 bits del dato capturado. Una vez que todo el buffer ha sido desplegado, se

vuelve a almacenar otro y repetir el procedimiento descrito. Este comando termina

cuando se haya presionado cualquier tecla.

3.2.3.1.5 COMANDO WST-TEXT

Este comando es el más importante de los descritos puesto que despliega

páginas en fonna totalmente legible y se ejecuta cuando la bandera WST-TEXT

está activada, dando paso a que se almacenen 932 líneas WST. ' Este comando

despliega en pantalla varias páginas de 24 líneas con 40 caracteres por línea, para

lo cual utiliza la subrutina WSTFORMAT cuyo diagrama de flujo se lo puede

observar en la fig. 3.4.2.

Esta rutina toma datos del buffer de datos, procesa en un formato adecuado

y luego los coloca en el buffer FORMATODESPW de donde se tomará la

información que será desplegada por páginas en pantalla.

Para cumplir con lo descrito, la rutina empieza limpiando el buffer

FORMATODESPW; luego busca el inicio de una página, cuando lo encuentra,

almacena una página completa en el buffer FORMATODESPW con la subrutina

WSTLINEAS. Si en el proceso de almacenamiento de datos se ha obtenido una

línea errada, repite la rutina WSTFORMATO pero de no exitir errores la página

será desplegada en pantalla con la subrutina DESPWST y regresar a leer otra

página. Estas subrutinas analizan si se trata del comando WSTPAG o WST-TEXT

para desplegar una o varias páginas respectivamente.

Si el comando WSTPAG está en ejecución, entonces la primera página será

desplegada con su correspondiente valor de checksum, pero si tiene otras

subpáginas, éstas serán desplegadas sólo si su checksum ha cambiado. Estos

comandos terminan cuando se haya digitado cualquier tecla.

- 155 -

Page 167: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

RUTINA

WSTFORMfiT

L I M P I A BUFFER

FQRMATQDESP

NOFIN DELBUFFER

FORMATODESP

TOMA 1 LINEADEL BUF DEDfiTOS (34) Se erfip i eza a buscar e I

i n i c i o de una pagi na

EXISTEERRORES DE

PARIDAD

DECODIFCA LOS 2PRIMEROS DfiTQS VOBTIENE INFORMA-CIÓN DE CANAL, flDE LINEA y BIT 6

DESECHA LOS32 DATOS DELfi LINEA

- 156-

Page 168: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1 r

TOMA LOS Z PRINE-RÜS BVTE DEL DATAHEADER Y OBTIENEEL H DE PAGINA

i 1

SALTA LOS 6 BVTESRESTANTES DELDATA HEADER

'r

TOMA LOS SIGUIEN-TES 24 BVTES DELBUFFER DE DATOS VLOS COLOCA EN ELBUF, FORHATODESP

^'

ALHACENA P A G I N A SDE 24 LINEAS CON49 CARACTERES PORLINEA, CON LA RU-TINA MSTLINEftS

En este punto, se ha encontrado el

i n i c i o de una pagina y si esta no

ha tenido ningún error en el proceso

se continua o se busca otra pag.

CALCULA EL CHECK-SUM DE LA PA6INAALMACENADA EN ELBUFFER FORMATO-DESP.

•0

- 157-

Page 169: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CHECKSUI1 =AL V A L O R DE

Lfl P A 6 ,AIUERIG

''

DESPLIEGA ELBUF. FÜRMftTQ-DESP COH LA

RUTINADESPEJST

Í1G. 3.4.2 Flujograma de la Subrutina WSTFORMATO

Para encontrar el inicio de una página, se toma del buffer de datos una línea

sin errores de paridad de la cual se obtiene información sobre el número de línea,

línea Gearing y el número de canal (obtenida de los 2 primeros bytes de datos),

esta información'es útil para conocer si se trata de una línea inicial. Cuando se

haya conseguido una línea inicial se analiza la Cabecera de Datos, esto se hace

para extraer el número de la página a la cual corresponde la línea tomada

(información obtenida de los dos primeros datos de la Caberacera de Datos y salta

los restantes), luego se almacenan los 24 datos restañes de la línea de cabecera.

Cuando existe errores en una línea o no es línea inicial repite el procedimiento con

otra línea hasta hallar una nueva página.

- 158 -

Page 170: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Subrutina WSTLINEAS

Esta rutina, cuyo diagrama de flujo se lo representa en la ñg. 3.4.2.1, es

utilizada después que una página haya sido encontrada y sirve para llenar el buffer

FORMATODESPW en formato de 24 líneas con 40 caracteres por línea de la

siguiente manera:

Toma una línea del buffer de datos que corresponda a la página encontrada

y analiza dos casos:

* Si es línea no GEARING, ubica el puntero del buffer FORMATODESPW

en el margen izquierdo (columna 0) y línea actual (fila de la 1 a la 24 dada

por el número de línea) para almacenar los 32 datos que vienen en cada

línea.

* Si es línea GEARING, ubica el puntero del buffer FORMATODESPW en

la columna 32 y línea actual (fila dada por el número de línea) para

almacenar los 8 caracteres restantes y completar la línea de 40 carateres

requeridos en el formato de despliegue de datos. Este proceso se repite

4 veces puesto que vienen 32 datos por línea. Como se explicó en el

capítulo I la línea GAERING fue creada para que el formato americano sea

compatible con el europeo (24 x 40), y que aparecerá cada 4 líneas WST.

Si en el proceso se halla una línea O, se analizará si esta línea corresponde

o no a la página en uso. Este análisis es importante para actualizar el número de

página (regresando a la rutina wstformato) o desplegar el buffer formatodespw para

luego continuar con la siguiente página.

- 159 -

Page 171: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

RUTINfi

WSTLINEAS

FIN DELBUFFER DEDATOS WST

SI /EXISTEERRORES DE

PARIDAD

ACTIVA BANDERAPfiRA BUSCAR INICIO DE UNA PAG.

DECODIFICA LOS 2PRIMEROS DATOS VOBTIENE INFORMA-CIÓN DE CANAL, ffDE LINEA y BIT 6

LA LINEDE LA PAG.CORRESPONDEAL CfiHAL EN

USO

- 160-

Page 172: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

TOMA LOSROS BYTEHEADER V

2 PRIME-OEL DATAOBTIENE

EL H DE PAGINA

tt PAG.HALLADA =

M PAGINA ENUSO

ACTIVA BANDERAPARA BUSCAR INICIO DE UNA PAG.

ACTUALIZA BUFFERFORMATDESP EH LAPOSICIÓN EQUIVA-LENTE A LA LINEAHALLADA Y COL 32

ACTUALIZA BUFFERFORMATDESP EN LAPOSICIÓN EQUIVA-LENTE A LA LINEAHALLADA Y COL 9

ALMACENA 8 DATOSEN EL BUF FORMAT-DESP Y SALTA A LASIGUIENTE LINEAMISMA COL.

ALMACENA UNALINEA DE 32DATOS EN BUF.FORAMTDESP

32 DATOS \O

ALMACENADOS

FIG. 3.4.2.1 Flujograma de la Subrutina WSTLTNEAS

- 161 -

Page 173: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

SubrutinaDESPLWST

Esta subrutina que se la puede observar en el flujograma de la fig. 3,4.2.2

es utilizada para desplegar el buffer FORMATODESPW cada vez que se lea una

página, e inicia con un mensaje que aparecerá en pantalla de la siguiente manera:

Página XXXX (CHECKSUM) DESPLEGAR (S/N) ?

Esto significa que la página XXXX que contiene un cbecksum de valor

(CHECKSUM) está lista para ser desplegada. Se admite respuestas tales como:

S Despliega la página

N No despliega la página y lee otra

<espacio> Termina

Dependiendo del comando digitado (WSTINIMP o WSTNIMP) antes de

ingresar a este programa (WSTMAIN), se desplegarán caracteres imprimibles y de

control o solamente caracteres imprimibles.

Cuando el comando no ha podido cumplir su función, regresa al programa

WSTMAIN a verificar si se han leído todas las líneas del VBI fijadas, de no ser así,

regresa a leer otra línea almacenada, en memoria de captura y repite el proceso

comenzando con buscar el código de trama; pero si se ha terminado todas las

líneas, se inicializa condiciones de memoria de captura, actualiza interrupciones y

regresa por otro intervalo de borrado vertical.

- 162-

Page 174: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

RUTINA

DESPWST

ES LAPAGINADESEADA

CHECKSUI-M

A C T I V AB A N D E R ASALIR2

TONA EL BUFFERFORMñTODESP, e,d.DATOS DE 24x40

- 163 -

Page 175: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

TOMA UN DATODEL BUFFERFGRÍ1ATODESP

A C T I V A D AB A N D E R AWSTCLEAN

DATO E5CARÁCTER

I M P R I M I B L E

DESPLIEGA \L DATO A M-

PANTALLA j

SE HANO/DESPLEGADO

TODO EL BUFFERJORMATODESP.

REEMPLAZA ELDATO POR UNESPACIO ' '

FÍG. 3.43.3. Flujograma de la Suhrutina DESPWST

- 164 -

Page 176: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

3.2.4 PROGRAMA YÍTCMAIN

El programa principal VITCMAIN es usada para leer y procesar datos de

señales VITC (Vertical Interval Time Code) para lo. cual se han reservado 9

localidades de la memoria de programa que van desde la dirección 37H a la 40H,

localidades necesarias y suficientes para almacenar ]os bytes de información de este

tipo de señal.

Este programa utiliza el diagrama de flujo de la fig. 3.5. Igual que los

programas anteriores, este programa empieza con un proceso de inicialización que

consta de:

- Un mensaje de presionar cualquier tecla si se desea salir. .

- Analiza si es comando de calibración, dependiendo de la respuesta se fija

el nivel de Vrefl a utilizar.

- Encera un contador de errores de paridad.

- Fija el pórtico Pl.O y Pl.l a 11 para capturar datos de VITC, es decir, se

escoge salidas del multiplexor de datos rápidos invertidos y reloj de valor

1,789972 MHz

- Inicializa condiciones de memoria de captura, desactiva la salida del

pórtico P1.7 (línea válida) y por último se actualiza las interrupciones de

sincronización.

Después de establecer ésta condiciones iniciales, se espera por una interrup-

ción de sincronismo vertical con subsecuentes interrupciones de sincronismo

horizontal.

- 165 -

Page 177: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

DA UN NIVELDE Vref I N I C I A L

SI /COMANDODE CALIBRA-

CIÓN

CALIBRA CONEL ULTIMO

NIVEL USADO

RESETEA ELCONTADOR DE

ERRORES

CONDICIONES

FIN DELNO / INTERVALO

DE BORRADOVERTICAL

APAGA INTL I M P I A BAND.DE RECEPCIÓN

CQMANDÜ\ODE CALIBRA-

CIÓN

DETECTA EL fl DEERRORES OCURRI-DOS EN UNA LINEA

- 166-

Page 178: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

SI /COMANDODE CALIBRA-}*

C I O N

LEE 10 B I T S DEM E N O R Í A DE CAPTUR

V ALHACENA SOLOLOS 8 bms, EN

f l E H O R I f t DE PRQGR.

LEE EL PRIMER BY-TE ALMACENADO ENMEMORIA DE PROG.

DESPLEGAEN FORMATHEXAOEC.

- 167 -

Page 179: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

TOMA CIERTOS BITSDE I N F O R M A C I Ó N DECADA BYTE DE DATO

;

D E S P L E G AEN EL SI 6

F O R M A T . Ohfir •"

P/¡

LOS 8GRUPOS B I N A

RÍOS TIENE UNVALOR DE

CERO

DESPLEGA \L VALOR DE \A GRUPO EN

FORMATO /HEXADECIHA/

FTG. 3-5 Flujograma del Programa Principal VITCMAIN

El formato de los datos a capturar lo constituyen 9 grupos de 10 bits,

numerados cada bit desde el O al 89 (cada bytes está descrito en el capítulo I).

Cuando se ha habilitado la bandera de fin del VBI, se analiza si es comando

de calibración; en caso de serlo, se hace una detección de errores para determinar

si existe o no errores en la línea capturada.

- 168 -

Page 180: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En caso de existir errores se actualiza el contador de errores con un valor

de 35 para ejecutar la calibración hasta cuando se tenga un número de errores

cercano o igual a cero con lo cual regresa al programa principal a esperar que se

digite un nuevo comando; pero si no existe errores, se resetea la memoria de

captura, actualiza interrupciones y regresa bajo el mando del programa principal.

Si no es el comando de calibración, también se hace una detección de

errores para verificar si existe o no errores. Si existe errores, resetea condiciones

iniciales de memoria de captura e interrupciones y regresa por otro VBI pero si no

existe errores se inicia el proceso de lectura de 9 grupos de 10 bits cada uno

almacenándose en direcciones-de programas antes definidas solamente los 8 bits

menos significativos. Los comandos utilizados en esta rutina son:

3.2.4.1 COMANDO VITCRAW

Este comando se ejecuta cuando la bandera VITCRAW está activada

y sirve para desplegar en forma nexadecimal los 8 primeros grupos de datos

almacenados en memoria de programa sin realizar ningún proceso; es decir,

despliega en pantalla los datos tal como han sido recuperados.

3.2.4.2 COMANDO VITC

Este comando ejecuta la decodificación de los datos de cada grupo

para obtener la información de] tiempo, este proceso se lo realiza de la siguiente

manera:

Los 4 bms (bms = bits menos siginifcativos) del octavo y séptimo bytes de

datos representarán las decenas y unidades de Hora (HH).

- 169 -

Page 181: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El 3ro. y 4to. bms del sexto y quinto bytes de datos respectivamente

representarán decenas y unidades de Minutos (MM).

El 3ro. y 4to. bms del cuarto y tercer byte de datos respectivamente

representarán decenas y unidades de Segundos (SS).

El 2do. y 4to. bms del segundo y primer bytes de datos respectivamente

representarán las decenas y unidades de trama (FF).

Para saber si es trama par o impar (i/p) se lee el cuarto bit del cuarto byte

de datos.

Con Jos datos así recuperados se envía a pantalla la señal ya decodificada

en el siguiente formato:

HH:MM:SS:FFp/i

Después se prueba si todos los números de los grupos de trama son cero;

en caso de serlo, no se despliega ningún número de grupo de trama pero basta que

un grupo sea diferente de cero para que se despliegue todos los números de grupos

de trama. Terminado este proceso se regresa a esperar otro intervalo de borrado

vertical y repetir el proceso. Igual que en todos los casos anteriores, si se desea

terminar basta con digitar cualquier tecla.

- 170 -

Page 182: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Cuando se ha habilitado la bandera de fín del VBI, empieza el proceso de

lectura de datos desde la memoria de captura para almacenarlos en memoria de

programa, los bytes de información serán:

6 bits iniciales formarán el código de trama y los siguientes 5 bits

formarán la fracción de segundo que de no tener un valor de 2 o 3

regresa por otra trama de datos de Time Stamp.

Continúa leyendo 6 bits más son de uso desconocido

4 bits siguientes informarán el tipo de MES

5 bits siguientes informarán el DÍA

4 bits siguientes informarán la HORA

6 bits siguientes informará los MINUTOS

6 bits siguientes informará los SEGUNDOS, y

1 último bit informará si es AM o PM.

Una vez recopilada esta información se procede a la decodifjcación de la

misma de acuerdo al comando que haya sido digitado, es decir:

Si es comando de calibración, se analiza si el primer byte almacenado es el

código de trama; de ser cierto el contador de errores se fijará a O caso contrario

se procede a ejecutar la rutina de calibración hasta obtener un nivel de voltaje de

referencia que permite capturar datos con el menor número de errores.

Si es comando Clock, se verifica tanto el valor del código de trama como la

fracción de segundo, de ser los valores esperados se toman los datos almacenados

en memoria de programa y se procede con el despliegue del tiempo.

Este proceso se repite hasta que se haya presionado una tecla, la misma que

llevará al usuario bajo el mando del programa principal.

- 172-

Page 183: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Dft UN N I V E LV r e f l I N I C I A L

COMANDODE

CALIBRACIÓN

CALIBRA CONEL ULTIMONIVEL USADO

RESETEA ELCONTADOR DE

ERRORES

SETEO DECONDICIONESINICIALES

FINDEL INDTER-

VALO VERTICALDE BORRADO

APAGA INTI VLIMPIA BAND.DE RECEPCIÓN

RESETEAM E M O R I A DE

CAPTURA

LEE UN DATO DE6 BITS (CÓDIGODE TRAÍ1A = 26H)

- 173 -

Page 184: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

LEE 5 BITS PARAC F R A C I O N DESEGUNDO)

NO

SI

LEE DATO DE 6BITS (BYTE DEUSO DESCONOC.)

LEE 4 BITS PARA

DATO DEL MES

LEE 5 BITS PARA

DATO DE DÍA

LEE 4 BITS PARA

DATO DE LA HORA

LEE 6 BITS PARA

DATO DE MINUTO

LEE 6 BITS PARA

DATO DE SEGUNDO

LEE 1 BIT PARA

DATO DE AM_PM

- 174

Page 185: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CAPITULO IV

4.1 PRESENTACIÓN DEL EQUIPO

El equipo decodificador de Teletexto ha sido ensamblado en una caja

metálica de dimensiones 25x18x9 cm., donde han sido ubicadas jas diferentes

tarjetas utilizadas para su funcionamiento, señalización y pruebas.

FTG 4.1 Vista frontal y lateral derecha del equipo decodificador

Entre los elementos colocados para el funcionamiento del equipo se tiene:

Un interruptor de encendido de tipo luminoso que se halla montado en la

esquina superior derecha de la cara frontal del equipo.

- 176 -

Page 186: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Un pulsador de reset, necesario para reiniciar condiciones de trabajo del

microprocesador, se halla ubicado en el lado izquierdo de la cara latera]

derecha del equipo.

En la parte posterior del equipo se hallan montadas conectores de entradas/

salida de datos para interactuar con los diferentes aparatos que necesita ser

conectado, así tenemos:

FIG 4.2 Vista posterior del equipo decodificador

* Un conector DB9 tipo macho utilizado para conectar el equipo

decodificador con un terminal o un computador que contenga un programa

de comunicación serial (por ejemplo el PROCOMM).

* Además de la conexión del equipo con un terminal, se necesita que el

decodifícador sea conectado mediante un cable coaxial de 75Í1 a la salida

de video de un VCR o televisión, salida provista por un conector RCA.

- 177-

Page 187: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

* Finalmente se tiene el cable de alimentación de 110VAC con su respectivo

fusible de protección.

Los elementos de señalización/indicación lo constituyen 4 led's de color rojo

colocados en la paite frontal del equipo, estos led's informarán el tipo de señal que

el decodificador está procesando.

Para el montaje de la señalización, a los 4 led's previamente se los ha

ensamblado en una placa de wire wrap ubicados cada uno con su respectiva

resistencia; esta-placa está conectada al módulo del CPU mediante un conector

plano tipo hembra de 5 pines donde los 4 primeros corresponden al tipo de señal

que se está decodifícando y el quinto corresponde a tierra. Este conector contiene

jas salidas del demultiplexor 2/4 cuyas señales de control están dadas por los pines

Pl.Oy Pl.l del pórtico 1 del microprocesador. Inicialmente siempre se encenderá

el primer led para indicar que el CPU del equipo está funcionando adecuadamente

y que además por predefinición se espera que se haga la captura de datos de closed

caption. El encendido de cada led tiene su significado, es decir:

Si el primer led está encendido, entonces se está muestreando información

de Closed Caption para ser desplegada en pantalla.

Si el segundo led se ha encendido, entonces se está muestreando señales

propias de Teletexto (pudiendo ser WST o NABT).

Si el tercer led se ha encendido, entonces se está muestreando señales de

Time Stamp (clock).

Si el cuarto y último led se ha encendido, entonces se está muestreando

señales de VITC.

- 178 -

Page 188: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Por último, y por tratarse de un equipo de carácter didáctico, se ha provisto

de puntos de prueba montados también en la parte frontal del kit, estos puntos son

muy útiles para seguir los pasos que ejecuta el equipo en el proceso de

decodificación, este seguimiento se lo realiza mediante la ayuda de un osciloscopio.

Los puntos que han sido considerados son:

Señal de video clamping

Señal de voltaje de referencia I (Vrefl)

Señal de voltaje de referencia 2 (VrefZ)

Señal de datos TTL recuperados

Señal de Reloj

Señal de tierra

4.2 PRUEBAS PARA SINTONÍA DEL HARDWARE

Para iniciar las pruebas de funcionamiento del de codificador es necesario

asegurarse de que todos los equipos estén conectados adecuadamente, tal como lo

ilustra la foto de la figura 4.3; es decir, se debe conectar el equipo decodificador

a un terminal o computador con su respectivo programa de comunicación serial

donde los parámetros de comunicación deben ser:

- Velocidad de transmisión 9600 baudios

- Desactivado el Line Feed y activado el Scroll up

- Datos de 8 bits sin paridad y con 1 bit de parada.

- Comunicación full-duplex.

Una vez que se ha cumplido estas condiciones iniciales de instalación, sé

debe aplicar a la entrada de video del decodificador una señal normal de video

compuesta estándar (1 Vpp y 7511) que puede ser obtenida de la salida de un

grabador de video convencional. Con la ayuda de un osciloscop/o observar la

- 179 -

Page 189: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

señal de video clamping, si esta señal está presente entonces se concluye que e]

bloque detector de sincronismo esta funcionando adecuadamente, por tanto se

obtendrán las interrupciones de sincronismo de video tanto horizontal como vertical

que utiliza el microprocesador para extraer los datos de información de teletexto

incorporados en cualquier línea del intervalo de borrado vertical.

ÍTG 43 Conecciones básicas que requiere el clecodificador para inicio de pruebas

Es necesario anotar que para observar cualquiera de las señales de prueba

ubicadas en el lado derecho de la cara frontal del equipo se necesita que el

osciloscopio (por ejemplo el Tektronik 2220, osciloscopio con capacidad de

retención) y el equipo decodifícadorse hallen sincronizados, lo cual se consigue al:

- Conectar la salida del sincronismo externo del osciloscopio al punto de

prueba de Vref del decodifícador.

- Seleccionar el disparo del osciloscopio en Ja posición de sincronismo

externo.

- 180 -

Page 190: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- Ubicar el barrido de tiempo en 10/xs/div y presionar la tecla de

almacenamiento de datos.

De esta manera se tiene listo al osciloscopio que será utilizado en la

exploración de la señal.

4.2.1 CALIBRACIÓN DE CLOSED CAPTION

Para Ja decodjfícación de closed caption, se debe alimentar al decodifj'cador

con una señal que contenga dicha información que puede ser obtenida de una

grabadora de video. Para asegurarse de ]a presencia de estos datos en la línea 21

del campo impar en esta cinta de video, se ubica la punta del osciloscopio del canal

A en la señal de video clampingy para efectos de calibración conectar el canal B

en la señal de voltaje de referencia 2, el resultado de estas formas de onda se

puede apreciar en la fig 4.4, con esto se está monitoreando las señales utilizadas

por el comparador C.I. LM319 tanto en su entrada negativa como positiva

respectivamente.

Para obtener los mejores resultados, el voltaje de referencia debe ubicarse

de tal manera que el reloj de la trama de datos debe ser recuperado con un ciclo

de trabajo del 50%, esto se consigue variando el potenciómetro R33; mientras que

el voltaje de referencia para los datos debe ser colocado en su punto medio (o un

nivel inferior a este punto medio), esto se logra variando adecuadamente el

potenciómetro R13 (tanto R33 como R13 pueden ser manipulados externamente

desde el lado izquierdo del equipo). Si se manipulan los dos potenciómetros

alternadamente se obtendrá mejor calibración; así la señal de datos recuperados en

niveles TTL (salida del comparador LM319) se puede observar colocando la punta

del osciloscopio en la señal de datos TTL obteniéndose una forma de onda como

lo representa la fig. 4.5.

- 181 -

Page 191: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Señal de RefVrc f l

Señal de VideoClamping

(V: 0,5V/diV, t: 5/is/div)

Í1G. 4.4 Señal de video Clamping y de referencia Vrefl

Señal tic DatosTTI,

(V: 2V/div, t:

T1G. 4^ Señal de Datos en niveles TTL

- 182 -

Page 192: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Una vez realizado esta calibración, es necesario sincronizar el reloj que

servirá para almacenar los datos recuperados en la memoria de captura, para lo

cual se debe variar L2 del circuito de sintonía hasta una frecuencia de 1.006 MHz,

valor que puede ser medido (sin señal de video de entrada) con un contador de

frecuencia con la finalidad de obtener mayor exactitud en la medición. El reloj

así obtenido se lo puede apreciar en la fig. 4.6, se baila adicionalmente en este

gráfico los datos en niveles TTL de una línea de Closed Caption. En la fig. 4.7

se hallan las mismas señales (de datos TTL y Reloj) pero en escala amplificada.

Señal de Reloj

Señal de Dalos

(V:2V/div,

HG. 4,6 Señal de Datos TTL rs. Señal de Reloj

- 183 -

Page 193: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Señal de DalosTTL

Señal de Reloj

(V: 2V/div, t: 50/j.s/div)

FIG. 4.7 Señal de Datos TTL vs. Señal de Reloj

Después de esta calibración ejecutar cualquier comando de Closed Caption -

por ejemplo CC - con lo que se debería obtener en pantalla los datos decodifica-

dos. En caso de no .tener un texto legible, se debe manipular el condensador

variable hasta obtener la información deseada, este condensador es utilizado para

variar la frecuencia en pasos más finos.

El procedimiento descrito para monitorear la decodificación de Closed

Caption será general para los demás sistemas de Teletexto.

- 184-

Page 194: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

4.2.2 CALIBRACIÓN DE TIME STAMP y VITC

Para esta clase de señal se debe alimentar al decodificador con una señal de

video que contenga información de Time Stamp. Para observar los datos de esta

señal, se coloca la punta del osciloscopio en la salida de video ciamping; una vez

comprobado la existencia de datos de tiempo en la línea 20 del campo impar en

esta señal de video (o en otras líneas en otros casos excepcionales) se colocará la

punta de prueba en la señal de datos TTL recuperados; si esta señal está presente,

se podrá digitar el comando CLOCK para que la información del tiempo sea

desplegada en pantalla.

En caso de no conseguir una buena sintonía se desplegará un mensaje de:

"TIEMPO NO PRESENTE"; siendo necesario realizar una calibración mediante

el comando CCAL, este comando probará varios valores de voltaje de referencia

(Vrefl) hasta obtener el valor óptimo con el cual se tenga el menor número de

errores y por tanto la información correcta. Estas señales pueden ser vistas

utilizando dos canales del osciloscopio, donde el canal A deberá ubicarse en la

señal de video ciamping y el canal B en la señal de voltaje de referencia 1 (Vrefl).

Cuando se haya obtenido el nivel óptimo podremos nuevamente digitar el comando

CLOCK para poder visualizar en pantalla la información ya decodifícada.

El procedimiento de calibración debe ser ejecutado varias veces para estar

seguros que el nivel encontrado es el óptimo. Este valor de nivel óptimo podría

ser grabado en memoria de programa para no tener necesidad de calibrando cada

vez que se utilice este tipo de señal, pero en caso de no tener buenos resultados

con el valor fijado por predefinición, e] usuario puede ejecutar el procedimiento

descrito hasta obtener los mejores resultados.

El procedimiento para sintonía de señales VITC es exactamente el mismo

que se ha descrito para la señal de Time Stamp, siendo la única diferencia que para

las señales de tiempo ya existe estandarizado el lugar donde se ha de incrustar esta

- 185 -

Page 195: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

información, esto es en la línea 21 del campo impar, mientras que para las señales

VITC no existe nada estandarizado sobre el tema pero se lo puede conseguir entre

la línea 17 o 18 del campo impar.

Tanto para Time Stamp como para VITC, no existe un circuito tanque para

la generación de la señal de reloj utilizada para recuperar datos; puesto que, las

frecuencias de dichos circuitos de reloj son obtenidas mediante otro tipos de

circuitos (explicado en el capítulo II); estas señales no requieren ser manipuladas

en el circuito de reloj para conseguir la frecuencia de trabajo que produzca el

menor número de errores como se lo realiza en las señales de Cosed Caption,

WST y NABT.

4.2.3 CALIBRACIÓN DE WST/NABT

Una vez explicado la sintonización de datos para Time Stamp y Closed

Caption, se puede decir que la calibración para este tipo señales (WST/NABT) es

una combinación de las 2 anteriores.

Hay que asegurarse siempre de la existencia de datos en las líneas fijadas,

generalmente esta información se hallan ubicadas en las líneas 15, 16, 17 y 18 de

ambos campos.

Para calibrar el nivel de referencia (Vrefl) a un valor adecuado para

recuperar los datos, el procedimiento de calibración es similar al de la señal Time

Stamp, pero con los comandos WCAL y NCAL para WST y NABT respectivamen-

te. La calibración se la ejecuta las veces que sea necesaria hasta obtener el nivel

óptimo.

Para sintonizar el circuito tanque de reloj se debe manipular la bobina Ll

de la misma manera que para el circuito de reloj de Closed Caption, con la

- 186 -

Page 196: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

diferencia de que el circuito tanque debe resonar a una frecuencia de 5.7272 MHz.

En caso de no tener buena sintonía se debe seguir manipulado la bobina Ll hasta

obtener mejores resultados.

4.3 INTERACCIÓN ENTRE HARDWARE Y SOFTWARE

Para comprobar la interacción entre hardware y software se debe analizar

la secuencia que ocurre con cada interrupción necesaria para capturar los datos.

AI iniciar se debe mencionar que se tiene en memoria de programa una tabla que

contiene: una lista de comandos, el número de las líneas del VBI a ser leídas y el

campos (par, impar o ambos) a ser utilizado.

Se asume inicialmente que todas las interrupciones estén desactivadas y que

se tiene una señal con datos de Closed caption por lo que se fija a Pl.O y Pl.l a

00, esto permite seleccionar datos de Closed caption y su respectiva señal de reloj

que serán la salida del C.L 74HCT153, luego un pulso rápido que sale del pórtico

P1.5 que va de 1 a O lógico limpian el contador de 12 bits (C.L 74HCT4040) y

resetea las direcciones de memoria de captura al inicio, mientras que el pórtico

P1.6 se usará para incrementar la dirección en memoria de captura cuando el CPU

esté leyendo los datos aquí capturados.

Una vez fijados todos los parámetros, se debe esperar que ocurra una

interrupción dada por e] pulso de sincronismo vertical; la misma habilitará la INT1

al término del pulso de sincronismo vertical. Lo primero que hace la interrupción

de sincronismo vertical es almacenar el número de la línea VBI a capturar, para

luego habilitar la interrupción horizontal que ocurre en el pin de INTO con lo que

termina la rutina de interrupción vertical.

Cuando ocurra una interrupción de sincronismo horizontal, se incrementará

el contador de número de línea y buscará la primera línea del VBI que contenga

- 187 -

Page 197: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

información a capturar, si se ha encontrado la(s) línea(s) a capturar entonces la

salida P1.7 del microprocesador se pondrá en nivel alto. Cuando el sistema está

recibiendo la última línea del VBI (línea 24), la interrupción horizontal terminará

desactivándose y activando una bandera que permitirá al software iniciar la

decodificación y de esta manera conocer el contenido de la información dentro de

este intervalo vertical de borrado.

El P1.7 del pórtico 1 del microprocesador es el dato de entrada de un flip-

fíop tipo D (C.I 74LS74), el flip-flop se pondrá en alto al inicio de la primera línea

que contenga información, reseteándose el flip-flop cuando el P1.7 esté en bajo

esperando que nuevamente se active cuando ocurra una próxima interrupción del

sincronismo vertical. La salida Q del flip-flop es la denominada línea válida y está

en alto durante la captura de datos de cada línea del VBI3 con esto se consigue que

el CPU pueda intercambiar entre escritura y lectura de los datos anteriormente

almacenados en el momento de captura.

Otro multiplexor de 4-1 (C.I. 74HCT153 (U14)), es configurado de tal forma

que:

Cuando una línea válida esté en alto, el reloj de datos que está pasando por

dicho multiplexor sea el que incremente el contador de direccionamiento de

la memoria de captura y sea también señal de entrada del C.I. 74LS123 para

de esta manera obtener pulsos de escritura para la memoria de captura.

Cuando la línea válida está en bajo, permite a la salida del P1.6 generada

por el microprocesador actuar como reloj y que en ese momento no se

genera pulso de escritura porque el decodificador se halla en una etapa de

lectura de datos.

Cuando la línea válida está en alto y el sistema está escribiendo el dato en

memoria de captura, la dirección de la memoria se adelanta en uno por cada pulso

- 188 -

Page 198: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

de reloj de datos. Si existe múltiples líneas VBI a ser buscadas, las direcciones de

los datos para una nueva línea son aumentadas después del dato anterior, pueden

existir unos pocos bits de basura entre líneas, pero el código de trama le permitirá

al sistema ignorarlos.

Una vez que las líneas han sido leídas y desactivada la interrupción

horizontal entonces el software detecta la última línea del intervalo vertical de

borrado momento en el que empiezan los datos a ser analizados, para lo cual se

tendrá un primer pulso desde el P1.6 del microprocesador que reseteará el

contador e iniciará la dirección de la memoria desde OOH. Cuando el primer dato

sea leído por el microprocesador a través de P1.4, existirá otro pulso dado por P1.6

que incrementará el contador a 001H; el siguiente bit del dato es leído, el contador

se incrementa y así el ciclo continúa. Mientras los bits desde memoria de captura

siguen ingresando al microprocesador se va buscando el código de trama para

empezar a leer los bits del dato y procesar dicho dato, después el CPU resetea el

contador, habilita la interrupción vertical y restablece el ciclo.

- 189 -

Page 199: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CAPITULO V

Debido a los grandes adelantos tecnológicos que vertiginosamente se están

produciendo en los últimos años, se ha visto la imperiosa necesidad de utilizar

todos los medios disponibles para comunicarse entre cualquier punto del mundo

de la manera más rápida, confiable y económica. Más aún si existe un medio de

comunicación como por ejemplo el de televisión que contiene espacios disponibles

en ciertas porciones de su espectro de frecuencias, se ha visto la facilidad de

insertar información en una parte de ella como por ejemplo dentro del intervalo

vertical de borrado con lo cual se consigue optimizar el espectro de frecuencia

utilizado para transmisión vía satélite, por ejemplo logrando aprovechar un medio

de transmisión de datos a menor costo.

Este es el caso del teletexto, que como se ha dicho, es un periódico en casa

que puede ser accedido mediante la ayuda del decodifícador sin necesidad de pagar

cuotas extras por este servicio.

Este decodifícador puede ser simplificado tanto en hardware como en

software si se desea decodificar un solo tipo de señal. Por ejemplo si queremos

solo decodificar Closed Caption; en el hardware, los circuitos de recuperación de

datos y de reloj para de WST/NABT, Time Stamp y VITC deberían ser descartados

- 190-

Page 200: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

e igual caso en el software, los programas de decodificación de éstas señales

deberían ser descartadas de la memoria de programa (Eprom) con lo que también

se reduciría la capacidad de esa memoria. Igual sucede con cualquier otro tipo

de señal que se quiera decodifícar y descartar las restantes.

Este es el caso de las televisiones actuales que contienen un chip

decodificador de closed caption. El microcontrolador de motorola 68HC05CC1

es una verdadera solución en un sólo chip, puesto que combina los sistemas de

control y captura dentro de esta cápsula. El CC13 fue desarrollado por Motorola

en sociedad con Thomson Consumer Electronics, este microcontrolador 68HC05

incluye periféricos usados para control básico de TV con un hardware y software

especiales para la decodificación y despliegue de closed caption en la misma

pantalla de televisión.

El CC1 integra circuitería para extraer datos y desplegar funciones especiales

de closed captioning, esto es lo que. hace el CC1 como una parte nueva de genera-

ción de información. El hardware para closed caption en el CC1 consiste de un

módulo de Data Slicer (DSL equivalente al nivel de referencia de datos en el

equipo decodificador) y un módulo de On-Screen Display (OSD equivale al

despliegue de información en pantalla) que son manejados por un lazo asegurador

de fase (PLL dentro del chip). Estos módulos proveen de la extracción de datos

y funciones de despliegue de caracteres de closed caption.

El chip CC1 incluye otros periféricos para ejecutar tareas no relacionadas

al captioning. Por ejemplo, el modulador por ancho de pulso es usado para

control de audio y video. La comunicación serial con dispositivos externos es

provisto por el interfase de sincronismo serial. El pulso del acumulador ejecuta

medidas de pulsos de entrada, o conteo de pulsos para interpretación de control

remoto, las funciones ejecutadas por éstos periféricos no son diseñadas para cargar

el CPU del 68HC05CC1, sino mas bien comparte tiempos para próximas tareas de

decodificación de closed caption del CPU.

- 191 -

Page 201: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Adentrándonos ya en el equipo decodifícador construido, hay que notar que

los problemas que generalmente se presentan para la decodifícación están en la

recepción de las señales.

Por ejemplo, uno de los problemas más usuales son que la señal venga muy

ruidosa como se puede apreciar en la fig. 5.1, razón por la cual los datos

recuperados pueden obtenerse de manera alterada con su consecuente

decodifícación errónea. Hay que tratar de tomar señales con el menor ruido

posible para conseguir resultados satisfactorios en la decodifícación, aunque se ha

probado que la señal de closed caption admite ciertos rangos de ruido pero para

los otros tipos de señal el ruido no es admitido.

Señal de RcfVrcf2

Señal de Video.Clamping

(V: 0,5V/dir, fc

ITG. 5.1 Señal de Video grabada en estación 1

Otro de los problemas que suelen suceder es que los datos pueden venir con

un cierto nivel aceptable de voltaje pero su reloj no, o viceversa; este problema

- 192-

Page 202: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

también nos producirá errores en la decodificación ya que los valores de referencia

establecidos ya no son los adecuados cuando suceden estos tipos de problemas.

Esto se puede apreciar considerablemente si comparamos el gráfico de la

fig. 5.1 con el de la Fig. 5.2, en ellos se nota que se mantiene el mismo voltaje de

referencia pero dependiendo de la estación que ha emitido el closed caption, la

señal no mantiene el nivel de voltaje y además e] reloj emitido en cada señal son

totalmente diferentes dando como resultado que al recuperar el reloj inicial en el

primer caso se obtendrá un ciclo de trabajo de aproximadamente el 50% con lo

cual el decodifícador trabaja de manera aceptable, pero en el segundo caso el ciclo

de trabajo del reloj recuperado no será del 50% lo que trae como consecuencia que

se tenga una decodificación un poco defectuosa.

Señal de RefVref2

Señal de Video.Clamping

(V: OjSV/diy, fc K^s/div)

HG. 5.2 S«ñal de Video grabada en estación 2

- 193 -

Page 203: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Las aplicaciones que se le pueden dar este decodificador depende del

usuario, es así que el decodificador puede ser utilizado por una persona con

deficiencia auditiva pero que sepa leer y entienda el inglés. Otra manera de

aprovechar el decodificador es utilizarlo como un medio de aprendizaje de inglés;

como es sabido, la pronunciación es diferente a la escritura por tal razón este

aparato es de mucha ayuda para que un principiante se familiarice con el inglés

hablado a más de contar con la visualización de la palabra pronunciada (traducción

simultánea).

Cambiando un poco de tema vale referirse al nuevo estándar especializado

en transmisión de imágenes presentado en este trabajo (NAPLPS), sería de mucha

utilidad profundizar sobre el tema y realizar ciertos programas de aplicación para

comprobar la eficiencia del mismo, logrando de esta manera tener texto o gráficos

con diversos modos, fonts, colores más reales, etc. ejecutados con pocas instruccio-

nes y lo más importante grabados en un reducido número de bytes en relación a

otros programas especializados en crear y editar gráficos. En el Anexo B se

presenta una breve descripción de este nuevo estándar.

Como recomendación se puede sugerir seguir con el trabajo del presente

decodificador cuya finalidad sea que el despliegue de la información ya no se dirija

a un terminal (computador con el software necesario) sino más bien que la

información sea desplegada en la misma escena de la televisión; tal como suceden,

en los últimos modelos de televisiones que contienen un chip especializado en la

decodificación de señales de closed caption. Este nuevo trabajo puede lograr

superar el problema de control automático de ganancia que mantiene este equipo

construido, puesto que si se utiliza la circuitería de la televisión se puede contar

también con los circuitos especializados para mantener constante el nivel de voltaje

de la señal de video.

- 194 -

Page 204: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Otro trabajo que se puede desarrollar sería intentar realizar un software que

genere la información de Closed Caption, la misma que debería ser incrustada en

una de las líneas del intervalo de borrado vertical o bien en la línea 21 del campo

impar como por predefinición se ha estandarizado.

- 195-

Page 205: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

MAUSI, RUDGLF, Televisión Techno]ogy, Refresher

topics, 1994.

CORTEZ, L, Televisión - Principios y Práctica.

México, 1981.

Curso de Televisión, E.P.N. -

Quito, 1994.

RENNER K.3 The Line 21 Captioning System.

A Video Displav System, SC

Engine ering Journal (Texas

Instruments), Winter 1980.

BARNES, MIKE,

CROWTHER G. O.,

Exploring the Vertical Blanking

Interval Data for vour TV.

Design Contest Winner, Marzo

1994.

Adaptation of U.K. Teletext

System for 525/60 Qperation.

IEEE Transactions on'Consumer

Electronics, Vol. CE-26, Agosto

1980.

- 196 -

Page 206: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

SEAR, ROEBUCK & COMPANY Telecaption Trnining Manual.

Chicago 1980.

ELECTRONICS INDUSTRIES ASSOCIATION Joint EW CVCC Recommended

Practíce Por Teletext: North

American Basic Teletext Specifí-

cation (NABTS) EIA, Mayo de

1988.

McARTHUR, KELLY, The Textgrabber, Electronics

Now, Noviembre 1994.

BENZEL J. / NUCKOLLS L. R. Closed Captioning \vith tbe

Motorola 68HC05CC1. The

Computer Application Journal,

Mayo 1993.

LIANO BASCUÑANA LUCIO, La Norma Española de Teletexto,

Revista Española de Electrónica,

Diciembre 1987.

FLEMING J. / FREZZA W., NAPLFS: A New Standard for

text and graphics, Part 1: Byte,

Feb 19835 pp. 203-254. Part 2:

Byte, March 1983, pp. 152-185.

Part 3: Byte, April 1983, pp. 190-

206. Part 4: Byte, May 1983, pp.

272-284.

MILES H, DAVID, Audio Production Thecníques for

Video. Publicado por SAMS,

- 197 -

Page 207: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

1987

NATIONAL SEMICONDUCTOR, CMOS Databook, 1981.

Linear Databook, 198L

MORRIS, ROBERTO / MILLER, JOHN Diseño con circuitos Integrados

TTL. México, octava impresión

abril de 1991.

- 198 -

Page 208: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ANEXO A

GUIA DEL USUARIO

Page 209: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

MANUAL DEL USUARIO

Para iniciar el manejo del equipo decodifícador de teletexto se debe verificar

que se hayan realizado las conecciones básicas y las predefiniciones del software;

es decir, verificar que:

Exista conección entre el VHS, el decodificador y el equipo terminal de

datos.

Las predefiniciones del equipo terminal de datos se ha de hallar en 9600

baudios con recepción de datos de 8 bits sin paridad y con un bit de parada.

Además se recomienda que la instrucción Line Feed esté desactivado

mientras que la instrucción Scroll up esté activada y por último que la

comunicación sea de tipo full dúplex.

Una vez comprobado las conecciones, se debe polarizar al decodifícador y

si todo está funcionando adecuadamente se debe espera que aparezca el siguiente

mensaje:

DECODIFÍCADOR DE TELETEXTO

ESCUELA POLITÉCNICA NACIONAL

TESIS DE GRADO GCP - 1995

TELETEXTO\

-Al -

Page 210: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En caso que este mensaje no se haya producido, revise nuevamente las

conexiones o el fusible, pero si el decodifícador se encuentra ya en funcionamiento

el usuario puede empezar a digitar el comando que desee ejecutar, si el usuario no

está familiarizado con éstos comandos se tiene una plantilla de comandos

disponibles, los mismo que se desplegarán al digitar:

TELETEXTO\ Help

Para ayuda de un comando, tipee el nombre del "comando". (Para escoger

nn comando, basta digitar las letras indicadas en mayúsculas).

Comandos Varios:

Ambos_C Impar Par INiciar FijarDefault NOdefault CLS {11 al 22}

Comandos para closed caption:CCDepur CCRaw Ce CCText CCNp

Comandos de la red de tiempo:

CLock CNivel CCA3 CLOCKRaw

Comandos para VITC:

VITCRaw Vite VNivel VCa]

Comando WST (World Standard Teletext):

Wst WSTBuffer WSTScan WSTParidad WSTRawWSTInimp WSTNimp WSTPAg WCal WNivel

Comandos NABT (North American Broadcast Teletext):

Nabt NABUffer NAScan NARaw NAParidadNNivel NCal

Presione ESC cuando desee salir de AyudaInformación de:

~ A9 ~r\¿*

Page 211: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Cuando el usuario se encuentra dentro de] comando de ayuda puede escoger

cualquier comando del cual requiere una pequeña explicación. Cuando desee salir

de ayuda basta con presionar la tecla ESC y regresará al mando del programa

principal.

Cuando se desea fijar manualmente las condiciones inicialmente para

capturar datos de algún tipo de señal, es necesario especificar el campo y las líneas

de donde se realizará ]a captura de datos. Se ha de notar que para fijar éstas

condiciones iniciales se debe ejecutar un comando a la vez; así por ejemplo, si se

desea rastrear datos de teletexto de las líneas 17 y 18 de] campo impar, se ejecutará

de ]a siguiente manera:

TELETEXTA> Inicio

TELETEXT\ 17

TELETEXTA> 18TELETEXTv> Impar

Pueden ser incrementadas en orden ascendente más números de líneas a

rastrear. En caso de ingresar otra secuencia de líneas diferentes alas establecidas

es necesario digitar el comando INICIO.

Para observar las condiciones iniciales fijadas, es decir, número de líneas,

campos y niveles de referencia Vrefl usados por predefinición se ejecuta mediante

el siguiente comando:

TELETEXT\ Fijar (del ejemplo anterior)

Campo ImparLíneas habilitadas: 17 18Nivel de referencia WST: 67

Nivel de referencia NABT: 5ENivel de referencia CLOCK: 63

- A3

Page 212: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Si no se ha fijado ninguna línea aparece el mensaje de:LINEAS DEL VBI NO ACTIVADAS.

Ahora, si se desea ver algo más interesante, por ejemplo si se tiene una

señal de video que contenga información de Closed Caption en la línea 21 del

campo impar, entonces basta digitar cualquiera de los comandos de Closed Caption

indicados en la plantilla de ayuda, pero si dicha información se la ha ubicado en

otra línea u otro campo (casos excepcionales en el momento de la codificación),

entonces primero se debe digitar el comando NODEFAULT, fijar la línea y campo

donde se halla ubicada la información para luego proseguir digitando cualquiera

de los comando de Closed Caption y esperar el despliegue de la información. Una

vez que se esté desplegando la información de Closed Caption (o cualquier otra

señal) y el usuario desee terminar, basta presionar cualquier tecla.

Similar al caso anterior, cuando se desee obtener información de Time

Stamp se debe digitar el comando Clock.

Si los datos son obtenidos de manera errónea entonces es necesario realizar

una calibración previa (esta calibración existe para cualquiera de las señales,

excepto para closed caption puesto que esta señal no tiene ningún código de

detección y corrección de errores), esto se lo ejecuta con:

TELETEXTO\ CCal

Proceso que durará algunos segundos. Una vez obtenido el mejor estado

de Ja señal, se debe digitar nuevamente el comando Clock, con lo que se espera

obtener la señal de tiempo decodifícada con el menor número de errores. Este

tipo de señal a ig^ial que closed caption, tiene su información definida en la línea

20 del campo impar pero en caso de ubicarse en otra línea del VBI el procedimien-

to a seguir es el mismo utilizado en closed caption.

- A4-

Page 213: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Si se desee obtener información de WST es necesario fijar las lineas y

campos que contienen esta señal (de la manera anteriormente explicada), luego

digitar el comando WSTPARIDAD, el mismo que dará una idea de la cantidad de

errores obtenidos en una trama de esta señal. Lo ideal sería que se despliegue

un valor de 00 que puede ser interpretado como una buena recepción de la señal,

caso contrario sería necesario ejecutar el comando de calibración de la siguiente

manera:

TELETEXTO\ WCal

Igual que el caso anterior, este comando encontrará el mejor nivel de

referencia para obtener el menor error posible. Luego de lo cual se debe digitar

cualquiera de los comandos para WST, esto es:

- WSTBUFFER - WST

- WSTSCAN - WSTRAW

O en caso que se desee ver una hoja específica (por ejemplo la página 100)

se debe digitar:

Este comando desplegará información de la página 100.

Cuando se desee obtener información de NABT} su procedimiento es muy

similar al descrito para WST, esto es: fijar líneas y campos, digitar NABTPA-

RIDAD, de existir errores de paridad ejecutar el comando NCAL para luego si

despjegar la información con cualquiera de los comandos disponibles para esta

señal. Estos comandos pueden ser:

- A5 -

Page 214: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- NABUFFER - NASCAN

- NABT - NARAW

Para datos de Teletexto (WST/NABT) cada cadena televisiva transmite en

diferentes líneas y campos, así por ejemplo:

CBS transmite en las líneas 15, 16 y 17 de ambos campos

series de la CBS Extravision.

WST transmite en varias líneas dependiendo su servicio.

* En las líneas 15 y 16 de ambos campos cuando se trata

de series de 200 páginas de la WTBS Electra

* En las líneas 17 y 18 de ambos campos cuando se trata

de series de 100 páginas de la WTBS Electra

* En Jas líneas 15, 16, 17 y 18 de ambos campos cuando

se trata de la serie WGN Desicion Line de la WTBS

Electra.

Por último se tiene el comando Vertical Interval Time Code (VITC) cuyo

procedimiento de recepción es exactamente el mismo que hasta aquí se ha

utilizado; es decir, fijar el número de línea y campo para luego si digitar cualquiera

de los comandos disponibles para este tipo 'de señales como son:

Vite VNivel VCal VITCRaw

Este tipo de señal no tiene definido en que líneas y campos del VBI serán

incrustadas su información, pero se está tratando de usar la línea 17 o 18 del

campo impar.

- A6-

Page 215: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ANEXO B

NUEVO ESTÁNDAR PARATEXTO Y GRÁFICOS

(NAPLPS)

Page 216: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

NAPLPS: THE NORTII AMERICAN PRESENTATION LEVEL PROTOCOL

SYNTAX

UN NUEVO ESTÁNDAR PARA TEXTO Y GRÁFICOS

El NAPLPS (o naplips) es un nuevo método para codificar

información visual de manera estándar y compacta, y puede ser utilizada con una

variedad de sistemas de computación. Como el bien establecido código ASCII,

NAPLPS es un conjunto de reglas y convenciones que describe como deberían ser

formateados bytes de datos de información para que sean recuperados totalmente

cuando sean recibidos en un terminal. A diferencia del código ASCII, el énfasis

del NAPLPS está en la comunicación de información gráfica en dos dimensiones.

La información de gráficos y texto puede ser representada en una variedad de

modos, colores y estilos.

El NAPLPS también incluye un método para minimizar la gran cantidad de

información que debería ser enviada sobre las líneas de comunicación, la finalidad

de este sistema es que la hermosura y complejidad de una imagen sea limitada sólo

por la imaginación y destreza del usuario.

HISTORIA Y ANTECEDENTES

El NAPLPS tiene su origen en el Videotexto, cuyos terminales tienen un

potencial mercado alrededor del mundo donde se los utiliza en las casas, oficinas

y áreas públicas. Un sistema de videotexto básico consiste de un computador host

que contenga información en una base de datos de información, una red de

-Bl -

Page 217: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

comunicación y un terminal tal como se puede observar en la fig. A.l. El terminal

usado requiere información de la base de datos para ser enviada al terminal donde

se la interprete y despliegue en pantalla.

Usuario

Rulo de Información

Red:" Teléfono• Cable de TV" Híbridos

c

Base deDalos

-©umputadui

Host

proveedor deInformación

ITG A-l Diagrama típico de un sistema de videotexto

Desafortunadamente, todos los sistemas experimentales diseñados en el

mundo usan diferentes esquemas de codificación, siendo algunos más eficientes que

otros, otros más fácilmente decodificados por terminales, otros preservan el

contenido conceptual de la información y otros fueron hechos para una

configuración de un hardware en particular.

Los esquemas de codificación de videotexto se podría dividir en 2 grupos.

En un grupo estuvieron esquemas que fueron similares a los primeros pasos usados

en el sistema British Prestel, que fue el primer esfuerzo de videotexto en el mundo.

El otro grupo de esquemas es representado por el sistema Telidon desarrollado en

Canadá como una alternativa al sistema Prestel.

En Mayo de 1981, se creó un nuevo esquema de Telidon llamado PLP (Pre-

sentation-Level Protocol), donde NAPLPS es considerada como una versión

estándar del PLP que fue el resultado de un esfuerzo conjunto de la American

National Standards Institute (ANSÍ) y la Canadian Standards Association (CSA).

-B2 -

Page 218: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Los sistemas de comunicaciones de datos han sido divididos en varias capas,

un modelo de 7 niveles dados por la International Standards Organization (ISO)

del que NAPLPS es un estándar para el sexto nivel, llamado nivel de presentación

del modelo de 7 capas, en el que NAPLPS ha sido diseñado para codificar una

gran variedad de información de manera que se preserve el contenido conceptual

de la información, el código de NAPLPS puede ser transportada físicamente entre

sistemas de computadoras vía modems y enlace de datos.

TÉCNICAS DE EXTENSIÓN DE CÓDIGOS

La codificación del NAPLPS empieza con bits y bytes, el byte de S-bits

puede ser usado para representar 256 modelos únicos para codificar coordenadas

de gráficos, colores e información de control por lo que será necesario más de Jos

256 códigos. La solución es agrupar bytes secuencialmente para formar un con-

junto largo de comandos, similar a lo que ocurre con las letras que agrupadas

forman palabras.

A los grupos de bytes se los llama extensión de códigos, muchas técnicas de

extensión de códigos usan el carácter ASCII Escape (ESC = 1BH) corno un indica-

dor que el próximo carácter tiene un significado especial. Muchas veces, los

próximos caracteres indican que siguen más caracteres, un ejemplo de este tipo de

extensión de códigos es e] típico multicaracter Escape secuencia! para el

posicionamiento secuencial del cursor de muchos termínales. Esta presentación

para extensión de códigos es buena para un pequeño número-de extensiones, pero

tiende a hacerse inconsistente cuando un número grande de extensiones es

definida. NAPLPS ha sido diseñada con una extensión de código extremadamente

general, estructura que es independiente del significado específico de los códigos.

La estrategia básica para ser la base de la extensión de códigos en NAPLPS

es tomar una gran tabla de códigos (128 o 256) y dividirlos en grupos más

- B3 -

Page 219: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

pequeños de códigos que puedan ser "intercambiados" dentro y fuera de la gran

tabla. El pequeño grupo de códigos puede incluir códigos con características

similares, este grupo puede tener nombres y mecanismos estandarizados establecido

para tener un intercambio controlado.

Actualmente en el NAPLPS existe 2 técnicas de extensión de códigos: la

técnica de 7 bits y la técnica de 8 bits.

La técnica de extensión de códigos de 7 bits, es usada en sistemas de datos

de 7 bits que pueden pasar los niveles físicos de comunicación (niveles del 1 al 5),

el octavo bit es a menudo reservado para paridad y de esta manera detectar

errores, como el control de errores es ejecutado en un nivel 2, entonces los bits ya

han sido manipulados antes de alcanzar el nivel 6 de datos. Cuando códigos de

7 bits son usados, entonces los códigos extendidos son seleccionados saltando en

la tabla de códigos ASCII de 7 bits.

NAPLPS usa los 128 ASCII de 7 bits por lo que son 100% compatibles con

cualquier sistema que transmite ASCII puros y puede usar los 128 códigos ASCII

superiores que son caracteres gráficos cuando éstos sean cargados antes de ser

usados.

La técnica de extensión de códigos de 8 bits, es usada cuando todos los 8

bits de datos son habilitados para información de NAPLPS, este es el método

utilizado en sistemas donde el protocolo de bajo nivel puede soportar 8 bits. Con

datos de 8 bits, los 256 códigos pueden ser agrupados en una tabla de 16 filas y 16

columnas (16 x 16 — 256) (fig. A.2.a), esta tabla puede ser dividida en 2 grupos de

128 códigos cada una (fig. A.2.b), éstos dos grupos pueden ser particionados en

grupos de 32 y 96 códigos (32 + 96 = 128) (fig. A.Z.c), los 32 códigos ocuparían

2 columnas de la tabla de 16x16, mientras que los 96 códigos requerirán 6 colum-

nas. Cuando se usa éstos códigos, la tabla de códigos es accesible sin translaciones.

- B4-

Page 220: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

De esta manera los 256 códigos han sido dividido en 4 pequeñas regiones

que nos permiten agrupar códigos en tablas de tamaño manejable. Las 2 tablas

pequeñas son llamadas grupos de control (set C) y las 2 tablas más grandes son los

grupos de gráficos (set G).

IB.

128CÓDIGOS

128CÓDIGOS

Y

GL

06CÓDIGOS

/

GR

96COD1GOÍ

TABÚ DE 256 CÓDIGOS

[a]

Tci3Z~COD. u 32 COD.

ib) le]

FIG. AJ2 Posibles combinaciones de códigos con 8 bits

Antes que un grupo G sea intercambiado a una de las grandes áreas, éste

debería ser seleccionado de un repertorio y colocado en uno de los 4 grupos

designados. Dos de éstos grupo designados son colocados en GLy GR (fig. A.Z.c),

los códigos son entonces interpretados en 3os grupos G comunes que están en uso

en la tabla grande.

Este mecanismo es ilustrado por la fig. A.3 para la técnica de extensión de

códigos de 8 bits} las filas y niveles indican secuencia de códigos especiales que son

usados para producir el intercambio de los grupos, la mayoría de esta secuencia de

códigos empiezan con el carácter Escape. La notación "6/14" es una manera

alternativa de especificar un código con un bit modelo específico, en una tabla de

16x16, el bit modelo 6/14 representa que se refiere a la columna 6 y la fila 14 (en

hex, 6/14 debería ser 6E y en decimal 110 = 16x6 + 14).

- B5 -

Page 221: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

DEFAULT CO DEFAULT C1

SET CD SET C1

YESC,

2/1,

SET-C DE DESIGNACIÓNE INVOCACIÓN

SET DE INVOCA-CIÓN DEGRÁFICOS

JGO

[DEFAULTSET PRIMJ r

ESC, 2/9, (FJESC, 2/13, (F]

4ESC, 2/10, (FJESC, 2/14, [F]

ESC, 2/8. (F)ESC, 2/12, (FJ

DRCS

SET MACRO

SET MOSAICO

SET PDI

SET DE CARACT.SUPLEMENTARIO

REPERTORIODEL SET-G "

ESC. 2/11, [F]ESC. 2/15. (F)

' SET DE CARACT.PRIMARIOS

_P

i

7/11

7/10

7/13

5/7

7/12

(F) CARÁCTER

FIG. A-3 Técnica de extensión de 8 bits para NAPLPS

- B6 -

Page 222: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Para mover un grupo G del repertorio a uno de los grupos designados, una

secuencia de 3 caracteres es utilizada, el tercer carácter en la secuencia repre-

sentado por "(F)" es el "nombre" del grupo G. Cada grupo G tiene un único

nombre que es especificado en el estándar de NAPLPS, por ejemplo, el nombre

del grupo G de ASCII es 4/2 (42H). Para mover el grupo G de ASCII del

repertorio al grupo GO designado, se deberá usar la siguiente secuencia: ESC, 2/8,

4/2. Nuevos grupos G pueden ser aumentados en adelante especificando un nuevo

nombre que no ha sido especificado.

NAPLPS comúnmente tiene 6 grupos seleccionables disponibles en su

repertorio (pero sólo 4 son usadas) y son:

* Grupo de caracteres primario (GO), también conocidos como ASCII es un

grupo completo de 96 caracteres como O, 1, 2, .,A: B, C}... x, y, z, etc.

* Grupo de caracteres suplementarios (G2) es un juego completo de 96 signos

internacionales.

* Instrucciones de Descripción de Imágenes (PDIs) (Gl) contiene instruccio-

nes como "línea," "Arco," y "Dibujar polígonos".

* Grupo de Mosaicos (G3). Es el juego de caracteres más antiguos para

crear gráficos

* Grupo de Macros. Conjunto de caracteres que produce una ejecución en

cadena de comandos.

* Grupo de Caracteres Redefinibles Dinámicamente (DRCS) está inicialmente

libre esperando que sea definido un carácter y pueda ser utilizado mez-

clándose con los ya existentes.

- B 7 -

Page 223: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

La estructura entera de extensión de códigos de NAPLPS está diseñada para

soportar futuros desarrollos de manera organizada. La mayor importancia

desarrollada hasta el momento es mantener compatibilidad con el sistema ASCII.

UNIDAD DE PANTALLA Y SISTEMA DE COORDENADAS

En NAPLPS, las imágenes son dibujadas en una unidad de pantalla que es

una área cuadrada de desconocida resolución y tamaño, donde la esquina inferior

izquierda de la pantalla corresponde a las coordenadas (0,0) mientras que la

esquina superior derecha corresponde a las coordenadas (1,1)- El nombre de

"unidad de pantalla" es derivada del echo que todas las coordenadas en la unidad

de pantalla tienen una componente de X y Y entre 0,0 y 1,0. En NAPLPS, todas

las coordenadas y distancias son especificadas en subunidades relativas a la unidad

de pantalla.

La ventaja de especificar las coordenadas de esta manera es que la imagen

será independiente de cualquier configuración de hardware, otra ventaja será que

los objetos de la imagen se quedarán en la misma posición relativa respecto uno

del otro aunque la resolución de la representación física pueda incrementarse.

En la mayoría de videos la relación de aspecto es 4:3, por lo que los dibujos

deberían ser restringidos en la coordenada Y a 0.75 por tanto la representación

física en la pantalla va desde (0,0) en la esquina inferior izquierda a (1,0.75) en la

esquina superior derecha.

Para representar estas coordenadas deberemos usar un formato de punto

Sjo binario. Este formato asume que el punto binario está a la izquierda entre el

bit del signo y el bit de datos.

- B8

Page 224: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

8 bits

Signo1 •* Hegat (-)0 -> Posit (+)

± .

Pto. Binario

1

1/2

2

1/4

3

1/8

4

1/16

5

1/32

6

1/64

7

1/128

Los valores de los lugares binarios van de izquierda a derecha, el valor de

la posición del bit inmediatamente a la derecha del punto binario es 1/2, el próximo

es 1/4, el próximo será 1/8, etc. Así por ejemplo, el número 0.1011010000000

representa un número positivo igual a 1/2 4- 1/8 + 1/16 + 1/64 = 0.703125 que por

supuesto es menor que 1, y cualquier combinación por más unos que tenga nunca

llegará su valor a 1.

Cuando coordenadas son codificadas en NAPLPS, cada byte puede contener

6 bits de datos como se puede ver en la fig. A.4 para representar estándares de 2

y'3 dimensiones; en el formato de 2 dimensiones, de los 6 bits de datos se usan 3

bits para X y 3 bits para Y mientras que a] ser formato tridimensional se usarán

2 bits por coordenada. Si son usadas coordenadas de alta precisión entonces será

necesario de múltiples bytes para su representación.

b? b6 b5 b4 b3 b2 bl bO

X 1 ± BHS1 I

± BHS1 1

b7 b6 b5 b4 b3 b2 bl bO

X 1 ± BHS ± BHS1

í BHSi

X 1 Basi i

Busi i

X 1 Bas Bisi

Basi

FIG. A.4 Representación de coordenadas Bi y Tri- dimensional

- B 9 -

Page 225: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En NAPLPS, el color es similarmente especificado en términos de la

intensidad del rojo, azul y verde por lo que cada byte de color o dato contiene 6

bits de información de color (2 para cada color); sin embargo, varios bytes, pueden

ser agrupados de tal manera que los colores puedan ser especificados con mucha

mayor precisión, como se puede ver en la fig. A.5, 2 bytes han sido usados para

tener un total de 12 bits de información de color (4096 posibles colores).

01 R G B R G B 01 R G B R G B

ROJO VERDE AZUL

FIG. A-5 Coordenadas para alta resolución

En codificación de coordenadas, los bits más significativos son enviados

primero y se pueden ignorar los bits menos significativos.

Con este tipo de sistemas, se puede representar un gran espectro de colores

dependiendo de la cantidad de memoria disponible, pero la mayoría de

computadoras tienen sólo un número pequeño de colores disponible. La ventaja

del modo de color O es que se puede receptar en todo terminal, un terminal barato

de color puede representar la misma imagen -aunque mucho menos intensa- como

un terminal caro dedicado a gráficos. El mapa de color que es usado en los

modos de color 1 y 2, permite mostrar un espectro ancho de colores sin requerir

gran cantidad de memoria. En un computador debemos almacenar la información

de color en un registro de color como parte de] mapa o tabla de colores.

-B10-

Page 226: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

En la fig. A.6, se compara un sistema que usa colores fijos con otro que usa

un mapa de color, ambos tienen la misma cantidad de memoria (32Kb). En el

sistema de color fijo, los 4 bits en memoria por cada pixel especifica una de las 16

combinaciones de rojo, azul, verde e intensidad. En el sistema de mapa de color,

los 4 bits se refieren a uno de los 16 registros de color, cada uno de los que en

tumo se refiere a una de las 4096 combinaciones de rojo, verde y azul.

DISPLAYDEMEMORIA

256x256x4Bits

32K Bytes

al microproces

A bits

ador

1 bit

3 bits

INTENS1DA

IVA

1D/A

1

D/Ai

Rojo

Verde

Azul

monitora color

o0

t

1 6 colores lijos

mapade

color16x12

bits

12 bits

4

4

D/A

D/A

D/A

, ruiju

I" "' " ' *

i Verde

r

Azul

monitora color 0

0

•4096 colores variables

al microprocesador

ITG. A.6 Esquemas para almacenar información a color

Otra ventaja importante del mapa de color es que si damos ciertas

instrucciones se puede crear algún efecto de animación dramática, para lo cual se

debe referir a la tabla de color de animación que en el NAPLPS es una área muy

compleja. Un mecanismo que ha sido previsto les permite especificar intercambio

de color en el mapa de color basados en una relación de tiempo, los intervalos de

tiempo pueden ser grupos en unidades de 1/10 de segundo que es compatible con

sistemas de 60Hz o 50Hz.

-BU -

Page 227: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El texto es considerado como una forma especial de gráficos de figura

rectangular que se pueden hacer a escala en cualquier tamaño y colocarse en

cualquier posición de la unidad de pantalla.

El NAPLPS tiene 3 grupos de caracteres fijos específicos y 1 grupo de

caracteres redefinibles, los cuales proveen de una variedad de características de

orientación de texto, que puede ser aplicado en cualquiera de los 4 grupos de

textos. Los grupos del NAPLPS son:

* El grupo de caracteres primarios - ASCII - (fig. A.7) son muy utilizados en

textos, el conjunto de caracteres ASCII está asignado por predefinición para

los grupos GO y GL (fig. A.2).

b6b5b4

010

011

100

101

110

111

b3

0

0

0

0

0

0

0

0

• 1

1

1

b2

0

0

0

0

11110

0

0

bl

0

0

1

1

0

0

110

0

1

bO

0

10

10

10

10

10

o12

3

4

5

6

7

8

9

10

1

11

#$%&It

()*

0

12

3

4

5

6

7

8

9

:

eA

B

C

D

E

F

G

H

I

J

P

Q

R

S

T

U

V

wX

Y

z -

\

b

c

d

e

f

9

h

•i

J

P

qr

s

t

u

V

w

X

yz

- B12-

Page 228: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

b3

1

1

1

1

1

b2

0

1

1

1

1

bl

1

0

0

1

1

bO

1

0

1

0

1

b6b5b4

010

011

100

101

110

111

1112

13

14

15

+ ; K [

< L \ ]

> N

/ ? 0i ~~

k {

1

m }

n

o

FIG. A-7 Grupo de caracteres Primarios

El grupo de caracteres secundarios, también especificado en NAPLPS (re-

presentados en la fig. A.8), contiene varios símbolos y caracteres internacio-

nales, aunque la mayoría de aplicaciones requieren pocos de estos símbolos.

Este grupo de caracteres por predefinición está designado para el grupo G2

y debería ser mo\ddo a GL o GR antes que éstos caracteres puedan ser

usados.

El conjunto de caracteres de Mosaico es el tercero de los grupos fijos (fig.

A.9), aunque no son de texto son tratados como tal por su figura

rectangular. Estos caracteres de mosaico tienen muy poco uso y son

designados por predefinición para el grupo G3, puesto que ellos no pueden

ser directamente accedidos sin un cambio de] grupo-G.

- B13 -

Page 229: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

b6b5b4

010

011

100

10 '1

110

111

b3

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

b2

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

bl

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

bO

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

-* - n

i ± * x R

0 2 ' ® D

£ 3 A © a

$ x ~ w M

^ M " > +

# I " - U

§ L

n -T- / £

/ \

" » ^ CE

« » , k •-*- ^ — 3g p

t i- i' ^Lr T*\ ^B 1

% t % O•t ¿ v ^g h

i

X

ae

CJ

6

h

ij

1

1

0

CE

B

tt

D

JP1G. A-8 Caracteres Suplementarios

- B14 -

Page 230: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

b3 b2 bl bOi •"0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

Q 1 1 1

1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1

1 1 0 0

1 1 0 1

1 1 1 0

1 1 1 1

b6b5b4

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

0 O l í 1 11 1 0 0 1 10 1 0 1 0 1

2 3 4 5 6 7

•_ _• mm• • • •

• • • ••_ _• ••

• B_ VI.1 L v L+ £ •£ £r r ?: c• ^ j j• 3- "• 11 J1 J J•* * "i a— r 5¡ ••• fc h bJ H -14• P 1 9 1

FIG. A-9 Caracteres de Mosaico

- B15 -

Page 231: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El cuarto grupo de texto en NAPLPS es el Set de Caracteres Dinámi-

camente Redefínables (DRCS). Cada elemento en este grupo de caracteres son

inicialmente rectángulos en blanco que se los puede definir donde sus modelos

pueden ser dibujados con comandos de gráficos o texto. Una vez que el elemento

es definido, este puede ser usado como cualquier otro carácter, así pues, los 96

caracteres en el grupo DRCS pueden ser usados para crear tipos de letras y sím-

bolos especiales.

El NAPLPS provee de una variedad de características de orientación de

texto, esto es hacia: la derecha, izquierda, arriba o abajo, que puede ser aplicada

con cualquiera de los 4 grupo de texto.

CARACTERÍSTICAS DE LOS GRÁFICOS

Las instrucciones de gráficos son especificadas usando códigos de Instrucción

de Descripción de Imágenes (PDI) del gmpo-G (fig. A.10), el PDI del grupo-G es

un grupo de 96 caracteres dividido en 2 grupo mas pequeños,

Los primeros 32 caracteres son códigos de operaciones gráficas que son

usados para control específico de texto, dibujos básicos y control de color.

Los 64 códigos en las 4 columnas de la derecha del PDI del grupo-G son

usados por éstos códigos para codificar datos. Estos bytes de datos son

codificados e interpretados de acuerdo al código de operación precedente,

donde seis bits son habilitados para información de cada byte.

Muchos de los op codes (códigos de operación) requieren múltiples bytes

de datos para codificar una cadena de datos. Las coordenadas, por ejemplo, son

típicamente codificadas en 3 bytes de datos consecutivos.

- B16 -

Page 232: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

b3

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

b2

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

bl

0

0

1

1

0

0

1

1

0

0

1

1

0

. 0

1

1

bO

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

b6 0 0 1 1 1 1b5 1 1 0 0 1 1b4 0 1 0 1 0 1

1 T /I C ¿T -7

3

3

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

¿¿ -J ** »J U /

Reset Rectáng.(Outline)

Domain Rectángul(Filled)

Text Set & Rect(Outline)

Texture Set & Rect(Filled)

Punto PolígonoSet (Abs) (Outline)Punto PolígonoSet (Reí) (Filled)Punto Set Políg(Abs) (Outline)Punto Set Políg(Reí) (Filled)Línea Campo(Abs)Línea Incr(Reí) PuntoSet & IncrLíne(Abs) LíneaSet & Inc PolígLíne(Rel) (Filled)Arco Set(Outline) ColorArco Wait(Filled)Set & Are Select(Outline) ColorSet & Are BlinX(Filled)

DatosNuméricos

flG. A-10 Instrucciones de gráficos (PDI)

- B17 -

Page 233: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

X 0 1 c c c c c X 1 D D D D D D

O -* SIGNIFICA QUE ES ÜH OP CODECCCCC -> UN OP CODE (0-31)DDDDD -» 6 BITS DE DATOS POR BYTE

1 -» SIGNIFICA OH BYTE DE DATOS

Como se ve, los distintos op codes y datos del PDI del grupo-G conduce a

una conveniente estructura de decodificación. Una vez que ha sido determinado

este código cae en el grupo PDI, el bit 6 (séptimo bit desde la derecha) se utiliza

para determinar si es un op code (con un 0) o si es un byte de datos (con un 1).

Luego se verá a estos códigos con más detenimiento

Este grupo de caracteres puede ser definido y redefinido por el sistema Host

de transmisión de códigos NAPLPS. Este grupo debería ser usado simplemente

para un diferente tipo de letra o para habilitar el uso de NAPLPS o lenguajes con

base no latina tales como Ruso, Thai o Irmktitut. Los 96 códigos en el DRCS son

tratados como espacios hasta que sean explícitamente definidos por un comando

de control DEF DRCS. Cuando éstos códigos son desplegados tienen las mismas

características y limitaciones que un texto alfanumérico.

CONTROL

Existen dos grupos de control (grupos C -CO y Cl- ver fig. A.lla/b) que

también son especificados en NAPLPS. Estos grupos de control contienen los

códigos necesarios para acoplarse al intercambiar entre los grupos G y C. Ellos

también contienen códigos para mover el cursor, controlar el DRCS, limpieza de

la pantalla, etc.

- B I S -

Page 234: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El grupo de control CO son semejantes con los que trabaja el ASCII.

b?b6b5b4

0000

0001

b3

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

b2

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

bl

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

bO

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

O

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

NUL

SOH

STX

ETX

EOT

ENQ

ACK

BEL

APB(BS)APF(HT)APD(LF)APU(VT)CS(FF)APR(CR)SO

SI

DLE

DC1

DC2

DC3

DC4

NAK

SYN

ETB

CAN

SS2

SUB

ESC

APS

SS3

APH

NSR

JH1G. A-lla Grupo de Control 1

- B19 -

Page 235: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

b3

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

b2

0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

bl

0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

bO

0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

b7b6b5b4

1000

1001

8 9

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

DEFMACRODEFPMACRODEFTMACRODEF ETXDRCSDEFTEXTURAEND

REPEAT

REPEATTO EOLREVERSEVIDEONORMALVIDEOSMALLTEXTMEDTEXTNORMALTEXTDOUBLEHEIGHTBLINKSTARTDOUBLE

PROTECT

EDC1

EDC2

EDC3

EDC4

WORDWRAP ONWORDWRAP OFFSCROLLONSCROLLOFFUNDERLINE STARUNDERLINE STOPFLASHCURSORSTEADYCURSORCURSOROFFBLINKSTOPUNPRO-

FTG. l.llb Grupo de Control 2

-B20 -

Page 236: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El grupo de control Cl son usados para operaciones específicas del

NAPLPS. Hay un bit de distinción que involucra usar al grupo Cl en modo de

7 bits. A causa de la necesidad de asegurarse que los caracteres de control de

ASCII normal son todavía disponible, no es posible reemplazar el grupo CO con el

CL puesto que, en el modo de 7 bits, el grupo Cl es colocado en el medio de la

área GR (de la 40 a la 5F) y un código Cl es invocado procesando con un código

ESC (IB).

Esto significa que el área GL puede aún ser usada como para PDI's normal,

texto ASCII o cualquier otro, puesto que el código Cl debería ser escapado. En

el modo de 8 bits, no hay conflicto porque Cl siempre está disponible.

EL Grupo: Macros

Macros (o macroinstrucciones) son especificadas en NAPLPS para reducir

la cantidad de datos que deberían ser transmitidas desde el host al terminal.

Macros provee de un mecanismo donde un uso frecuente de cadenas de multibyte

de texto y/o gráficos puede ser representado por una macro de único carácter, si

el nombre cíe esta macro aparece mas tarde en el ingreso de la cadena de datos,

el terminal recupera la cadena de multibytes y le inserta en la cadena de ingreso

en lugar de] nombre de la macro con lo cual el terminal procesa estos datos como

si hubieran venido desde el host. También una macro puede ser usada para

recuperar otras macros teniendo en cuenta que 96 macros pueden ser habilitadas.

Las macros puede ser usada en 2 direcciones: desde el host al terminal y

viceversa, la dirección puede ser especificada cuando la macro es definida. Macros

transmitidas son usualmente asociadas con teclas de funciones programadles en el

terminal, cuando una tecla es presionada, la cadena de datos asociados con la

macro y la tecla es enviada a la host.

-B21 -

Page 237: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

CÓDIGOS DE OPERACIÓN (OP CODE) Y OPERANDOS

Como anteriormente se ha señalado, las instrucciones de descripción de

imágenes (PDI) usualmente consiste de un op code y un operando. El op code

especifica una .función en particular; el (los) operando(s) especifican los datos

necesarios para la función.

En los PDI's como se señaló es fácil distinguir entre op code y operandos,

basta ver el sexto bit si es un O o un 1. Si las PDIs están presentes en forma octal,

también es fácil distinguirlos, los códigos octal con un primer dígito de O son op

codes, mientras que si es un 1 indica un operando.

EL quinto bit siempre será un 1 para un op code. Esto diferencia el op

code del código estándar de control en el grupo CO los 5 bits menos significativo

de un byte de un op code son usados para indicar una función particular. Estos 5

bits dan cabida a 32 op codes que han sido representados en la fig. A.11.

Los bytes de operandos representados en la fíg. A.ll todos tienen el sexto

bit a 1, los 6 bits menos significativos son para datos de codificación, el formato

dependiente del op code procede del dato. Los 6 bits disponibles en cada byte de

operandos pueden ser formateados de varias maneras diferentes.

El formato fijo para operandos de codificación es el más simple y el más

flexible, son usados por campos pequeños de bits (6 bits o menos) y a menudo

contienen unos pocos suboperandos. Por ejemplo en el op code TEXTO, un ope-

rando fijo es usado para codificar la Rotación del texto (2 bits: O, 90, 180 o 270

grados), el Camino del Carácter (2 bits: derecha, izquierda, arriba o abajo) y

Espaciados de Carácter (2 bits: 1, 1.25, 1.5 o proporcional). El formato fijo de

operandos son usados en la mayoría de las funciones de control-orientación del

NAPLPS, (es decir 6 bits = 2+2+2 bit suboperandos).

- B22 -

Page 238: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El formato de valor único, este formato es usado cuando se especifican

índices de color y velocidades de señales (en décimas de segundo). El formato de

valor único es codificado usando de 1 a 4 bytes, cada uno contienen 6 bits de datos.

En el modo por predefinición, 1 byte es usado, de esta manera permite números

en el rango de O a 63 a ser codificados. En el modo máximo (4 bytes o 24 bits),

números de O a 163777.215 pueden ser especificados.

El formato más común en NAPLPS es el operando multivalor. El operando

multivalor es usado para:

Codificar coordenadas (x,y) o (x,y,z) localizadas en la unidad de pantalla.

En el modo bidimensional, cada operando de 6 bits contienen 3 bits para

Xy 3 bits para Y. Los operandos de multivalor son codificados en 3 bytes,

por lo tanto, 9 bits de resolución son codificados para cada coordenada.

Los 9 bits se componen de un bit para el signo y 8 de datos, que resulta

adecuada para una resolución de 256 x 256. El NAPLPS soporta operan-

dos multivalor de hasta 8 bytes, donde cada uno de los 8 bytes contiene 6

bits de datos; así pues 48 bits están disponibles para ser divididos entre las

coordenadas. En modo bidimensional se tiene 24 bits para cada coor-

denada que pueden representar una resolución de 8 millones por 8 millones

de puntos.

También es usado para especificación de color. Varias cantidades de verde,

rojo y azul son especificadas usando este formato multibyte, donde cada

dato de 6 bits contiene 2 bits para cada color y además los colores son

entrelazados. Los 8 bytes del formato de operandos multivalor también

tiene 48 bits de información de color que da 280 billones de colores.

El último de ]os formatos de operandos es el operando en cadena. Este

formato es usado cuando una cadena larga de bits requerirá cientos de miles de

- B23 -

Page 239: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

bytes para codificar. Este formato es usado cuando se envían imágenes de alta

resolución y sirve para codificar la compresión de imágenes de cadenas de códigos.

La estructura de codificación de operando/op code del NAPLPS permite una

variedad de formatos y subformatos. Muchos de los op codes contienen uno o más

tipos de operandos, por ejemplo el op code TEXTO es seguido por dos operandos

de formato fijo y un operando multivalor. El número total de bytes de operandos

para este op code es variable, pero los 2 primeros bytes siempre serán interpreta-

dos como byte de formato fijo y el restante byte será considerado como parte del

formato multivalor.

INSTRUCCIONES DE DESCRIPCIÓN DE IMÁGENES (PDI)

Las Instrucciones de Descripción de Imágenes (PDI's) es el corazón del

NAPLPS y son usadas para codificar imágenes. Tiene 8 códigos especiales que

utiliza como son: RESET, DOMAIN, TEXTO, TEXTURA, GRUPO DE COLOR,

ESPERA, SELECTOR DE COLOR y BLINK; y 6 diferentes tipos de objetos:

Punto. Línea, Arco, Rectángulo, Polígono e Incrementa!, donde cada tipo de objeto

tiene 4 formas diferentes en que pueden ser dibujadas con lo que completan 32

códigos del grupo PDI's y las otras 64 posiciones son usadas para codificar

parámetros y coordenadas.

Un PDI (Picture Description Instruction) empieza con un código

seleccionado, estos códigos pueden tener el séptimo bit igual a 0. Este código es

seguido por uno o más códigos que contienen datos de parámetros, el PDI se

terminada cuando se encuentra un código que no es dato. Las excepciones son los

códigos de control desde 00 hasta 06 y de 10 hasta 17 y son por lo tanto ignoradas

si se las encuentra. En ciertos casos, los datos inválidos requerirán que e] PDI y

todos los bytes de datos para la terminación del PDI sean descartados para no ser

ejecutados.

- B24-

Page 240: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- El campo del carácter es 1/40 de ancho y 5/128 de alto

- Ningún contorno es dibujado en objetos llenos

- El campo activo corresponde a la nnidad de pantalla

- El subrayado se desactiva

- El video reverso se desactiva

Las siguientes condiciones se producen con el inicio del programa pero

sucede así al recibir un NSR:

- La paleta está en condición predeterminadas

- Las macros no están definidas

- Los caracteres DRCS no son definidos

- Se definen las máscaras no programable rellenas

- Los campos desprotegidos no son definidos

A continuación se describen los PDIs más comunes.

RESET

Esta instrucción es usada para limpiar la pantalla e inicializar varios

atributos. Dos bytes de operandos de formato fijo contienen 9 suboperandos. El

segundo byte de suboperando puede ser omitido cuando éstas operaciones no son

necesarias. Si ambos bytes de operandos son omitidos, un Reset completo es

ejecutado.

La pantalla es limpiada basada en el valor del cuarto al sexto bit del primer

byte. Los resets son ejecutados en las siguientes órdenes:

BITS 8 7 6 5 4 3 2 1O P CODE X 0 1 0 0 0 0 0

-B26-

Page 241: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

X 1 B B B C C DX 1 R M X U F T

Domain (D) Si el primer bit del primer byte es 1, los parámetros domain

son reseteados

Color (C) Especificado por los bits 3ro. y 2do. del primer byte

O O nada

0 1 Fija el color en modo O, por predefinición resetea la

paleta de color y fija el dibujo a blanco.

1 O Fija el modo de color y resetea por predefinición la

paleta. Si el modo de color actual es O entonces trata

al mismo como 1.

1 1 Fija el color a modo 1, por predefinición resetea la

paleta de color y fija el dibujo a blanco

Screen (B) Especificado por los bits 6to., 5to. y 4to. del primer byte.

0 0 0 Nada

0 0 1 Limpia la pantalla a negro

0 1 0 Limpia la pantalla al color actual del dibujo

O l í Fija el borde a negro

1 0 0 Fija el borde al color actual del dibujo

101 Limpia pantalla/borde al color del dibujo

110 Limpia pantalla al color dibujo y fija borde a negro

111 Limpia pantalla/borde a negro

Note que la mayoría de videos modernos desplegados no

permiten manipulación del borde

- B27 -

Page 242: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Texto (T) Si el primer bit del segundo byte es 1, entonces el cursor es

enviado al inicio de la parte superior izquierda del área de

despliegue y todo parámetro de texto desde el PDI de

TEXTO, el grupo Cl y los campos activos son reseteados por

sus predefiniciones.

Blink (F) Si el segundo bit del segundo byte es 1 entonces todo proceso

blink son terminados.

Campos (U) Si el tercer bit del segundo byte es 1 entonces los campos

desprotegidos se cambian a protegido y todas las definiciones

de campo excepto el campo activo se pierden. Si el programa

tiene cualquier estructura de datos interna para corregir y

transmitir el contenido de campo, ellos deberían ser lim-

piados.

Textura (X) Si el cuarto bit del segundo byte es 1 entonces toda línea

textura y atributo de modelo lleno son fijados por predefini-

ción. Los 4 modelos no son cambiado.

Macro (M) Si el quinto bit del segundo byte es 1 todas las rnacros son

limpiadas incluso macros transmitidas.

DRCS (R) Si el sexto bit del segundo byte es 1 entonces todos los

caracteres DRCS son limpiados fijando todos los caracteres

DRCS para ser equivalente al carácter < espacio >.

Si uno o más de los bytes de datos son perdidos, entonces el RESET

procederá como si ha sido recibido con todos los bits 0. Si se reciben bytes extra,

entonces serán descartados.

- B28 -

Page 243: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

DOMAIN

La PDI Domain principalmente es usada para controlar el tamaño de

operandos de datos para subsecuentes PDIs. La PDI Domain consta de un

operando de formato fijo seguido por un operando multivalor. El operando de

formato fijo controla el tamaño del operando de valor único y el de multivalor, de

la misma manera que la dirnensionalidad de coordenadas.

Bits 8 7 6 5 4 3 2 1OP CODE X O 1 0 0 0 0 1

OPERANDO

Si el sexto bit (D) es O, entonces las coordinadas X3Y son transmitidas, si es

1 entonces las coordinadas X,Y,Z son transmitidas, por predefinición se fija a X

y Y. Si es seleccionado en 3-dimensiones, entonces la coordinada Z sería

desconocido en el tiempo presente.

La longitud del operando multivalor es codificada en los bits quinto, cuarto

y tercero (MMM) como sigue:

0 0 0 1 byte0 0 1 2 bytes0 1 0 3 bytes (por predefinición)O l í 4 bytes1 0 0 5 bytes101 6 bytes110 7 bytes111 8 bytes

La longitud de un operando de valor simple es codificada en los bits

segundo y primero (SS) como sigue:

-B29 -

Page 244: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

0 0 1 byte (por predefinición)0 1 2 bytes1 0 3 bytes1 1 4 bytes

El dato multivalor seguido por el byte de formato fijo es usado para definir

la anchura y altura del lápiz lógico que es la brocha básica usada en toda las

operaciones de dibujos.

Los bytes de datos que definen el lápiz lógico son interpretados según los

operandos multivalor definidos en el byte de formato fijo inmediatamente

precedidos. Cualquier bytes de datos adicional después del tamaño del lápiz lógico

será ignorados.

TEXTO

La PDI de Texto controla atributos relacionados al texto y símbolos

semejantes a texto. Cuando un símbolo de texto es requerido, el propio elemento

es posicionado en el punto de dibujo actual, el elemento es escalado por especi-

ficación del tamaño del texto y el dibujo es ejecutado.

Dos bytes de operandos de formato fijo contiene 6 suboperandos, cada subo-

perando tiene 4 posibles valores que sirven para controlar atributos como rotación,

espaciado y estilo del cursor. A los 2 operandos de formato fijo le sigue el

operando de multivalor y es usado para especificar el tamaño y orientación del

texto. El tamaño es expresado en términos de coordenadas relativas, que se

indicará por la notación (dx;dy), esto es diferente de la coordenadas absoluta (x,y)

que se refiere a puntos específicos en la unidad de pantalla.

- B 3 0 -

Page 245: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Este comando fija los parámetros actuales que afectan como el texto sea

desplegado. Este incluye caracteres ASCII, suplementarios, DRCS y mosaicos.

Bits 8 7 6 5 4 3 2 1OP CODE X O 1 O O O 1 0

OPERANDO X 1 I I P P R ROPERANDO X 1 C C M M S S

Los bits sexto y quinto del primer byte determina a qué distancia se mueve

el cursor después de desplegar un carácter o después de un carácter <espacio>,

<backspace> o <tab>. El cursor siempre se mueve paralelo al camino del

carácter y la distancia es un múltiplo del ancho o alto del campo del carácter.

Este espacio intercaracter es definido como sigue:

O O 1 (por predefinición)0 1 5/41 O 3/21 1 espaciado proporcional

Los bits 4to. y 3ro. del primer byte definen el camino de] carácter como

sigue:

O O Derecha (por predefinición)0 1 Izquierda1 O Arriba1 1 Abajo

Después que un carácter es desplegado, el cursor se mueve en la dirección

especificada por el camino del carácter, este movimiento es independiente de la

definición del carácter de rotación.

- B31 -

Page 246: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

La alineación actual entre el cursor y el punto de dibujo es determinado por

el tipo de cursor.

Los bits segundo y primero del segundo byte define el espacio éntrenlas

como sigues:

0 0 1 (por predefinición)0 1 5/41 O 3/21 1 2

Estos espacios son interpretados como múltiplos del alto o ancho del campo

del carácter. Siempre que <linefeed> o <tab vertical> es ejecutado, la nueva

posición de la línea es calculada según este espaciado. Note que por predefinición

el espaciado simple del campó del carácter de dos filas conocidas exactamente.

Siempre que un carácter de texto es desplegada, si el subsecuente cursor se mueve

causaría que parte del campo del carácter este fuera de la unidad de pantalla o

fuera del campo activo, entonces un <carriage return> ó <linefeed> automático

es ejecutado. Si, por casualidad, un <carriage return> ó <linefeed> es recibido

después a la derecha de este, entonces se considera como que sólo una línea será

colocada.

Las dimensiones del carácter de campo son definidas por el operando

multivalor siguiendo los 2 bytes de formatos fijos. Si el ancho del carácter de

campo es negativo, entonces los caracteres son reflejados alrededor del centro del

eje vertical del carácter de campo. Si el alto es negativo, entonces ellos son

reflejados alrededor del centro del eje horizontal del carácter de campo. Si no se

recibe los bytes de datos, entonces las dimensiones del carácter de campo no son

cambiadas. Por predefinición la anchura del carácter de campo es de 1/40 de la

unidad de pantalla y la altura es de 5/128 de la unidad de pantalla. Esto es como

decir que por predefinición la unidad pantalla es de 40 caracteres por 25 líneas

- B33

Page 247: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

(aunque sólo 3/4 de las líneas son visibles, es decir 19 líneas).

TEXTURA

La PDI Textura aplicadas a la textura de áreas llenas y líneas. La línea de

textura puede ser línea punteada, rayada o ambas que serán dibujadas en vez de

la línea sólida normal. Una variedad de áreas de textura pueden ser seleccionadas

para que objetos grandes puedan tener reorganización interna, éstas áreas pueden

ser escogidas desde un grupo de "provisión" de modelos o modelos "programables"

pueden ser usados.

Su codificación lo constituye un byte de formato fijo seguido por un

operando multivalor.

Bits 8 7 6 5 4 3 2 1OP CODE X O 1 O O O 11

OPERANDO X 1 P PP H L L

Los bits sexto, quinto y cuarto definen los modelos llenos de Ja siguiente

manera:

0 0 0 Sólido (por predefinición)

0 0 1 Línea Vertical

0 1 0 Línea Horizontal

O l í Línea Vertical y horizontal (en cruz)

1 O O máscara programable A

1 0 1 máscara programable B

110 máscara programable C

111 máscara programable D

- B34-

Page 248: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El modelo de línea debería mantener registrado si el tamaño del lápiz

lógico desde un objeto al próximo es el mismo, aún cuando el tamaño del lápiz

lógico es (0,0), el sólido (lleno) es todavía dibujado. La máscara programable llena

son definidas con el comando DEF TEXTURA. Por predefinición ellos no causan

color en modo O y 1, y un color de fondo lleno en modo de color 2.

El tercer bit define si es o no esbozado un objeto relleno. Si este es 1

entonces los objetos llenos son esbozados con una línea sólida (independiente de

la línea textura) usando el tamaño del lápiz actual. En modo de color O y 1, el

esbozo es negro; en modo de color 2, el color de fondo es usado. Por predefini-

ción es 0.

Los bits segundo y primero definen la línea textura como sigue:

O O Sólido (por predefinición)0 1 Punteada1 O Rayada1 1 Punteada-Rayada

El operando multivalor sigue al byte de formato fijo que define el tamaño

de la máscara usada en modelos llenos para la máscara programable A, B, C y D.

En modo de color 2, los colores de primer plano y de fondo son usadas para

dibujar modelos llenos. El tamaño de la máscara por predefinición es 1/40 de

ancho y 5/128 de alto. Si no hay operando multivalor, entonces el tamaño de la

máscara no es cambiada.

Si una caricatura de característica de alta luminosidad es incluida; entonces

cuando se habilita, áreas llenas son altamente iluminadas (usualmente en negro)

para acentuar el margen, esto es especialmente usada en sistemas de video de baja

resolución que tienen problemas de cambios rápidos de color.

- B35 -

Page 249: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

GRUPO DE COLOR

Este comando es usado para modificar la paleta de colores y se sujeta al

modo de color definido por el SELECTOR DE COLOR. En modo de color O,

los colores del dibujo son explícitamente especificados como triples RGB y la

paleta es modificada implícitamente. En modo de color 1 y 2, el color es especifi-

cado como una paleta de entrada, es decir, el color es seleccionado desde la paleta

y también es usada para dibujar solo los pixels de primer plano. En modo de color

2, los colores de primer plano y fondo son escogidos desde la paleta y ambos pixels

son dibujados en el color apropiado.

Para usar un color en modo 1 y 2, se debería primero especificar el color a

ser colocado en la paleta usando el GRUPO DE COLOR, y entonces se debería

seleccionar la paleta entrante a usar con el SELECTOR DE COLOR. La paleta

entrante para blanco y negro nominal no son usadas.

8 7 6 5 4 3 2 1

op code X 0 1 0 0 1 0 0

X 11 !

±

G R B G R B

valor de ...color

X 1

G R B G R B

En modo O el operando multivalor especifica el valor actual del color RGB

donde los bits del sexto hasta el primero de cada byte de datos representa

GRBGRB. Por ejemplo, para fijar el valor del verde concatena porciones de los

-B36-

Page 250: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

bits 6 y 3 de cada.byte como sigue 6363..., para Rojo usa los bits 5 y 2 (5252...) y

para azul usa los bits 4 y 1 (4141...). El color se afecta hasta que otro comando

de Grupo de Color cambie, o por un Reset o NSR. Por predefinición el color del

dibujo es blanco.

En modo 1 y 2, el comando de Color pone colores en la paleta. Este puede

ser cambiado usando el Selector de Color. Siempre que el byte de datos

especifique más bits que la paleta puede manejar, los bits menos significativos son

descartados. Si la paleta provee más que lo que provee el byte de datos, entonces

bytes de ceros son agregados. Si hay bytes de datos después de] operando

multivalor, entonces un comando implícito del grupo de color es asumido con una

nueva paleta de entrada.

ESPERA

Este comando produce una pausa. Si el programa terminal todavía no ha

tenninado el despliegue previamente recibido los PDFs, entonces el intervalo de

pausa no se inicia hasta que el dibujo se complete. El byte siguiente al PDI de

Espera es un byte de formato fijo de contenido 1011100 en los bits del 7 al 1. Si

cualquier otro byte sigue al PDI espera, entonces la secuencia entera es descartada.

SELECTOR DE COLOR

Este comando define el modo de color. Para los modos 1 y 2, se escoge

la paleta entrante usada para el primer plano o color del dibujo. Para el modo 2,

este define la paleta de entrada para el color de fondo. Se puede tener O, 1 o 2

operandos de valores simples. Cualquier bytes de datos adicionales son

descartados.

- B37-

Page 251: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Si no hay bytes de datos, el modo O será usado, si hay un operando en los

bytes de datos será modo 1 y si hay 2 operandos en los bytes de datos será modo

2. Los bits mas significativos de los operandos de valor simple son usados para

determinar la paleta a usar. El primer valor simple especifica el color del dibujo,

el segundo valor simple (si existe) especifica el color de fondo. Si ambas paletas

especifican Ja misma paleta, entonces el color del dibujo no cambia pero si el color

de fondo, el color de fondo es usado para llenar el campo del carácter.

BLINK

Este comando es usado para definir paletas de animación para crear un

proceso en cadena. Este proceso corre en 1/10 de un segundo y modifica

periódicamente las paletas de entrada. La paleta inicial cambia el contenido del

color de blink-from con la que viene especificada por el color blink-to, el intervalo

de tiempo es definible para cada color visible. El intervalo ON es cuando el color

de blink-to es visible y el intervalo OFF es cuando el color blink-from es visible.

Cuando los intervalos de ON o OFF, para más de un proceso blink termina

simultáneamente, ello se inician con el primer proceso blink definido, esto significa

que el segundo y subsecuentes procesos blink usan el mapa de color resultante del

primer proceso blink.

El primer grupo de bytes de datos que siguen al comando BLINK son un

operando de valor simple que definen el color blink-to como una paleta inicial.

El color blink-from es definido para ser el color del dibujo actual y por Jo tanto no

es explícitamente incluido en el PDI BLINK

Después del operandos de valor simple, 3 bytes de formato fijo definen el

intervalo On, Off y Retardo Inicial para los procesos blink. Cada intervalo es

definido en décimas de segundo, como sólo se usa Jos bits del 6 al 1, entonces se

-B38-

Page 252: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

puede representar valores de: mínimo 0.1 segundo y máximo 6.3 segundos. Una

pausa es ignorada si no es activado correctamente los procesos blink.

Si hay bytes de datos adicionales después del byte de retardo inicial,

entonces otro comando blink es implícitamente iniciado.

DISEÑO DE DIBUJOS

La mayoría de dibujos son creados usando puntos, líneas, rectángulos, arcos

y polígonos; todos éstos elementos soporta el NAPLPS y cada una tiene varias

formas.

Puntos

Los puntos pueden ser dibujados en la unidad de pantalla en una variedad

de maneras tal como se indica en la fig. A-12.

7 6 5 4 3 2 1 7 6 5 4 3 2 1

X

X

X

0

1

1

1

0

0 0 1 0 0 X

X

X

0

1

1

1

±

0 0 1 0 1

X y dx dy

Definición de Punto Fijo: Absoluto y Relativo

-'B39 -

Page 253: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

7 6 5 4 3 2 1 7 6 5 4 3 2 1

X

X

X

0

1

1

1

0

0 0 1 1 0

1 1

1 1

1 1

X

X

0

1

1

±

0 0 1 1 1

X

X Y dx dy

Dibujo de Puntos: Absoluto y Relativo

FIG. A-12 Definición y Dibujos de puntos

>

Como se muestra en la figura A.12, 4 PDI's de puntos son provistas: 2 de

éstos comandos son usados para dibujar puntos, mientras que los otros dos sólo

posicionan el punto anterior al dibujo de texto o gráficos (Definición de Puntos).

Las coordenadas para la definición o dibujos de puntos pueden ser expresados en

términos absolutos o relativos.

En este punto, es probablemente usual distinguir entre el punto de dibujo

y el cursor. El punto de dibujo es el imaginario lápiz usado para dibujar gráficos

en la pantalla. El cursor es el típico bloque o línea subrayada que marca la

posición donde entrará el próximo texto a ser ejecutado. El punto de dibujo

puede moverse independientemente mientras que el cursor puede ser posicionado

en la pantalla.

Un op code para fijar Puntos Absolutos es usado para posicionar el punto

de dibujo en un lugar específico en la pantalla prescindiendo de donde el punto de

dibujo está actualmente localizado. Un op code para fijar Puntos Relativos es

seguido por un operando (dx,dy) que especifica una distancia a moverse desde la

posición actual.

- B40-

Page 254: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Líneas

Las líneas son usadas casi en toda representación gráfica. Cuatro formas

de PDI de líneas son provistas, la mayor diferencia entre los 4 op codes es que dos

de ellos dibujan una línea desde el punto de dibujo actual y las otras dos dibujan

desde un nuevo punto fijado. También, dos de los op codes involucran posiciones

relativas y otras dos posiciones absolutas.

7 6 5 4 3 2 1

X 0 1 0 1 0 0 0

X 11 1

01 1

X 11 1

1 1

1 1

1 1x y

7 6 5 4 3 2 1

X 0 1 0 1 0 1 0

X 11 1

01 I

i I

1 1

X 11 1

1 1

1 1

1 1xl yi

X 11 1

1 1

I 1

1 [

X

ya

Línea (Absoluta)

7 6 5 4 3 2 1

X 0 1 0 1 0 0 0

X 11 1

±I 1

1 1

1 1

X 11 1

1 1

1 ]

1 1dx dy

7 6 5 4 3 2 1

X 11 1

01 1

1 1

1 1

X 11 1

1 1 1

X y

X 11 1

1 1

1 I

I 1

X

dx dy

Linea (Relativa)

- B41 -

Page 255: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

X 1i i

i i

i i

i ix y

X 1I l

±i i

l 1

i i•

X 1i i

i i

i i

i i

dxl dyl

X 1i i

±i i

i i

i i*

X 1i i

i i

i i

i i

dx2 dy2

Grupo de Arcos (Silueta)

X

X

X

X

X 1

x

n r

dxl

i r

dx2

y

dyl

dy2

Grupo de Arcos (lleno)

Los círculos son un subgrupo generalizado del arco ya que sólo es necesario

especificar 2 puntos aunque con 3 puntos según el formato inicial también pueden

ser codificadas para lo cual el punto inicial debe ser igual a] punto final.

Rectángulos

El NAPLPS soporta rectángulos rellenos o vacíos y tiene 4 formas de las

PDIs. Los rectángulos están descritos al especificar la esquina opuesta en

términos de coordenadas relativas (dx,dy). Valores negativos para dx o dy pueden

ser usadas para producir rectángulos en varias direcciones desde un punto de

dibujo inicial.

-B43 -

Page 256: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

8 7 6 5 4 3 2 1 7 6 5 4 3 2 1

X

XJ L

X ,

XJ L

X 1

dx dy

Rectángulo (Vacío)

X 1

dx dy

Rectángulo (lleno)

7 6 5 4 3 2 1 7 6 5 4 3 2 1

X

X

0

1

1

0

1 0 0 1 0 X

X

0

1

1

0

1 0 0 1 1

X 11 1[ 1

1 11 I

X y

X 11 1

~ 1 I

1 1

1 1

X 11 1

1 1

1 1

1 1X y

X 11 1

±I 1

1 1

1 1

X - 11 1

1 I

1 1

1 1dx dy

X 1II

1 11 1

dx dy

Grupo de Rectángulos (Vac io) Grupo de Rectángulos ( l lenos)

- B44

Page 257: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Polígonos

El polígono irregular es una característica muy usual en NAPLPS. El

último punto del polígono es implícitamente el mismo punto inicial para asegurar

que se cierra diclio polígono.

Cuatro formas del op code de polígono son disponibles. Los polígonos de

perfil ofrecen una manera eficiente de enviar un lote de líneas, el polígono es

automáticamente cerrado por el último punto enviado y el punto inicial.

7 6 5 4 3 2 1 7 6 5 4 3 2 1

X 0 1 1 0 1 1 0

X 1 ±1

X 1

dxl dyl

X 1 ±1 1

1• •

X 1

dxn dyn

Polígono (Silueta)

X 0 1 1 0 1 0 1

X

dxl

X 11 1

1 1

1 1

1 1dyl

X 11 1

±1 I

1 11 I

X 11 1I 1

1 11 1

dxn dyn

Polígono (lleno)

7 6 5 4 3 2 1

X 0 1 1 0 1 1 0

X 11 I

01 1

1 1

1 1

7 6 5 4 3 2 1

X 0 1 1 0 1 1 1

X

-B45 -

Page 258: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

XJ L

X

X

y

XJ I

x

X

J I

y

J I

X

X

dxl

j i

dyl

X

dxl

X

dyl

XJ I

dxn dyn

X

dxn dyn

Grupo de Polígonos (Vacío) Grupo de Polígonos (lleno)

Los polígonos rellenos ofrecen la habilidad para definir objetos enteros

irregulares que puede estar dentro del objeto.

CAMPO

Este PDI define ]a posición y dimensiones del campo activo. El campo

activo es usado para desplegar y enrollar hacia arriba columnas o envolver texto,

para fijar la entrada de un campo desprotegido o representar imágenes de bitmap.

Si todavía hay un campo activo, este será reemplazado por un nuevo campo activo.

- B46 -

Page 259: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

El primer parámetro después del PDI es el origen del campo en

coordenadas absolutas. El segundo operando multivalor, da el ancho y alto del

campo, si el ancho o alto son negativos , entonces el punto de origen del campo

no estará en la esquina inferior izquierda. Este comando se lo opera de la misma

manera como el tamaño del lápiz lógico bajo el grupo PDI Domain. El punto de

dibujo actual se fija al punto de origen del campo, si no hay operandos multivalores

después del PDI de Campo, entonces el campo activo se fija por predefinición a

una unidad de pantalla completa con el origen en (0,0). Si hay un sólo operando

multivalor, entonces a este se lo usa como dimensiones de] campo y el punto de

dibujo inicial será usado como el punto de origen.

UNA MANERA ESTÁNDAR PARA CODIFICAR MAPAS

DE COLOR Y ANIMACIÓN

Como se ha dicho, NAPLPS soporta una variedad de modos de color. Uno

de los primeros modos (modo 0) serán usados en la mayoría de aplicaciones; en

modo de color O, los colores son especificados indicando las cantidades relativas de

rojo, azul y verde que debería ser mezcladas para diseñar un color.

Modos de color 1 y 2, usan una técnica llamada mapa o tablas de colores,

donde la tabla de color contiene un grupo de índices para especiOcar un color (fig.

A.13), Estos índices son enlazados usando los comandos de Grupo y Selector de

color; y se puede obtener cualquier color mezclando sólo colores primarios que

pueden ser usado más de una vez y no necesariamente se debe utilizar todos los

índices de la tabla.

-B47-

Page 260: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

ÍNDICE COLOR

0

4

5

6

25

26

27 "

31

RED

0.2

0.0

0.5

0.0

1.0

0.5

0.0

1.0

CREEN

0.3

0.0

0.8

0.8

1.0

0.5

0.0

0.0

BLU

0.0

0.0

0.8

0.8

1.0

0.5

1.0

0.0

FIG. A.13 Típica tabla de colores

Para entrar en una tabla de color, se debería primero usar el comando de

Selección de Color para especificar un cierto índice (fig. A.14). Este valor del

índice es codificado en un byte o bytes seguido por el comando y usualmente en

rangos desde O a 63, aunque índices tan altos como 16'777.215 pueden ser codifi-

cados. Después de escoger un índice se debe usar el comando del Grupo de Color

para especificar el valor de verde, rojo y azul que debería ser asociados con el

índice.

SELECCIÓN DE COLOR

8 7 6 5 4 3 2 1

op code

Color deldibujo

X 0 1 1 1 1 1 0

X 1 BMíi

i1}ms

X 1 BMíi

1i i

DHIS Color de fondo

(sólo en modo 2)

GRUPO DE COLOR

-B4S -

Page 261: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

7 6 5 4 3 2 1

X

X

X

0

1

1

1

G

G

1

R

R

1

B

B

1 0

G R

1G R

I

0

B

B

op code

Valorde

color

FIG- A-14 Comandos Selector y grupo de color

Cuando se quiere seleccionar un color para dibujar en modo de color 1 y2 debería especificar el índice para el color. La primera diferencia entre los

modos 1 y 2 es que el modo 2 permite especificar un color de fondo para carac-teres de texto.

Cuando una imagen es dibujada, un valor de imagen es localizado para cada

índice, este valor es escrito en la memoria de despliegue. La información de color

actualmente asociada con cada índice es puesto en un registro del hardware

asociado con cada valor de dibujo, y cualquier dibujo con este valor de la memoria

de despliegue tendrá este color. Note que un valor de la memoria de despliegue

es localizada a un índice solamente cuando ocurre un dibujo, también cada valor

del display es localizado a un único índice.

Para crear efectos de señalización y de animación, el color asociado a un

índice puede ser cambiado usando la secuencia Selector/Grupo arriba descrito.

Este producirá cambio en la pantalla de despliegue qne ha sido dibujada con el

valor de color cambiado.

Tabla de Color de Animación

El comando Blink es usado para fijar automáticamente la secuencia de latabla de color de animación. Como se muestra en la fig. A.15, el comando Blinkes seguido por varios bytes que indican un índice en la tabla de color, un intervalode encendido, un intervalo de apagado y una fase de retardo.

-B49 -

Page 262: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Cuando se especifica el comando Blink, se establece un proceso para el

tiempo e interacción que ocurre entre los dos colores entrantes. El índice de la

tabla de color "from" es fijado como índice de color actual. El índice de la tabla

de color "to" es el índice que viene especificado en el byte inmediatamente seguido

del comando Blink.

7 6 5 4 3 2 1

op code X 0 1 1

X 1

X 1

X 1

X 1

BMÍ

V

V

1

V

s.

1 1 1

1

1

DmsOperando deValor Simple

1

r

j

)

\o de for-

mato fijo(Byte 1)

* Intervalo ON

(Byte 2)

(Byte 3)

FIG. A-15 Comando Blink

Los procesos blink son actividades asincrónicas independientes que copian

valores desde una tabla inicial de colores a otra. Durante el intervalo deencendido, el color correspondiente al índice especificado por el color del "from"

es grabado en una área de memoria llamada bloque de control de proceso,entonces el color correspondiente al índice especificado por el índice "to" es

copiado al índice "from". Si el nuevo color es diferente al anterior, se notará un

resultado visual.

Similarmente, durante el inteivalo de apagado, la información de color

grabada en el bloque de control de procesos es restaurada en el índice de colorespecificado por e] color "from". Para simples blinks o intermitentes, el índice decolor "to" puede especificar un color constante que es usado para propósitos de

- B 5 0 -

Page 263: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

copia mas no para dibujar. Esta técnica permite a cada color en la pantalla tener

un único par de colores "from/to" sin requerir compartir colores.

Se debe notar que en el inicio del intervalo de encendido, el color en el

índice de color "to" es copiado al índice de color "from", esta copia es hecha

independientemente de cualquier actividad blink que puede ser fijada al color "to".

Así pues, esta copia podría ocurrir durante un tiempo cuando el color "to" ha sido

cambiado por otro proceso blink. El resultado es que múltiples procesos blink

pueden aparecer colores alrededor de la tabla de color en modelos regulares e

irregulares, estos modelos pueden ser usados para producir efectos dramáticos de

animación bajo completo control del terminal y sin la necesidad para interaccionar

con el host

Estos efectos de animación más las otras características, deberían establecer

al NAPLPS como el más extenso protocolo de cambio de información habilitada.

Como el tiempo avanza, se espera que el NAPLPS reemplace al ASCII como el

estándar para el intercambio de información, si esto ocurre, todas las áreas de

computación serán afectadas. Para preparar este impacto, necesitaremos examinar

el futuro para ver como el NAPLPS ayudará a amoldarse al mundo.

PREDICCIONES Y CONCLUSIONES

1.- Integración de texto y gráficos será esencial en todo intercambio de

información.

2.- Computadoras personales deberán ser diseñadas para ser fácilmente

operables para cualquier usuario y este pueda realizar cosas útiles inme-diatamente.

3.- Un computador personal será usado como un enlace para el resto delmundo mas que como una diversión.

4.- La eficiencia de la gente será aumentada para permitir actividades

concurrentes.

-B51 -

Page 264: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

5.- El promedio de los usuarios de computadores personales será más de unconsumidor por cada productor.

Usando estas predicciones y poca imaginación se puede hacer una hipótesissobre los últimos computadores personales como se ilustran en la fig. A.16, donde4 servidores funcionales son agrupados alrededor de una central switching decontrol y una unidad computacional. Estos 4 servidores funcionales (Interfase del

usuario, Disco duro, Comunicaciones y archivos) debería tener una complejidad

igual o mayor que de un computador personal IBM.

El servidor Disco Duro debería soportar texto y gráficos integrados en alta

resolución de blanco y negro. El servidor de Comunicaciones proveería todos los

enlaces a senadores fuera del lugar a través de modems y redes locales. El servidor

de archivos proveería el típico almacenamiento y funciones de recuperación;características como redundancia automática y respaldo de archivos podrían sertransparentes al usuario.

tHTERFACE SERVÍ DOM^UARJO

RED DE niTERFACE

PLOTTER

TECLADO

FIG. A-16 Distribución de un sistema de computadoras

El Interfase servidor-usuario tendría una resolución extremadamente alta

que despliega gráficos de color con una variedad de dispositivos de entrada deusuario. Todas las entradas editadas deberían ser manejables por este servidor,donde el usuario podría ingresar tanto texto como gráficos con igual facilidad. La

-B52-

Page 265: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

capacidad para apuntar objetos en la pantalla sería habilitado desde cualquiera de

los dispositivos de entrada y el interfase servidor-usuario debería poder soportar

múltiples ventanas, representando varias actividades comúnmente en progreso.

La Componente Central Computacional debería ser un sistema multitarea

con la capacidad computacional similar a la mayoría de sistemas multiusuarios de

tiempo compartido; podría ser responsable de coordinar todas las interacciones de

servidor a servidor, también podría actuar en favor del usuario si alguna atención

es necesaria y el usuario está fuera. Por ejemplo, si se recibe correo electrónico

vía servidor de Comunicación entonces es necesario almacenarla en el servidor dearchivos para que luego que el usuario haya llegado pueda recibir dicho correo.

Al usuario se le debería dar el elemento más importante como es el terminal

de texto/gráfico antes que cualquier otro componente, la capacidad de este terminal

debería ser estandarizado pero la interfase para el terminal debería ser claramente

definido antes que cualquier terminal sea dado.

Es aquí donde se le involucra al NAPLPS como el protocolo del interfase

de] terminal texto/gráficos para el interfase servidor-usuario. Como se muestra

en la fig. A.17, un terminal NAPLPS puede ser conectado vía una variedad de

mecanismos a una Componente Central Computacional. Desde el primer día de

uso, el usuario ve un cierto grupo de capacidades y empieza a acostumbrarse a las

características de edición disponibles en el terminal. Si un usuario es conectado

a un host vía modem, toda la computación e información son obtenidas desde el

host remoto.

Hay que notar que la capacidad de despliegue del NAPLPS son tales queel servidor de Disco duro puede usar el mismo protocolo, también, porque ejservidor de archivos podrá almacenar NAPLPS con lo que se ve que emerge uncompleto sistema computacional personal, por tanto el NAPLPS llega a ser el

lenguaje común en el sistema para intercambio de información. Además elservidor de Comunicación es el mecanismo por el que un usuario y un computador

personal llegan a tener una entidad en una red de área local, lo cual permite más

sistemas sofisticados que cuando un servidor es conectado a un host

- B53 -

Page 266: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

INTURFACE DE USUARIO

TECLADO

MTERFACE SERVflOOR-USUAWO

TKUOO

MSCEUCNEA DE RSreS:CABLE OE TV. SATÉLITE. ETC.

TECLADO TARET

TECLADO

TTIG. A.17 Senadores que pueden ser conectados a la Central Computacional

Hay que notar que la capacidad de despliegue del NAPLPS son tales que

el servidor de Disco duro puede usar el mismo protocolo, también, porque el

servidor de archivos podrá almacenar NAPLPS con lo que se ve que emerge un

completo sistema computacional personal, por tanto el NAPLPS llega a ser el

lenguaje común en el sistema para intercambio de información. Además el

servidor de Comunicación es el mecanismo por el que un usuario y un computadorpersonal llegan a tener una entidad en una red de área local, lo cual permite más

sistemas sofisticados que cuando un servidor es conectado a un host

~B54-

Page 267: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

PRODUCT DESCRIPT1ON January1984

Features

m 256K (32K x 8) CMOS EPROM

B Ultra Low Power— 100 ¡¿A Max. Standby Curren/— 40 mA Max. Active Curren/

U Programmed Using Intelligent Aigorithm— 1 Minute Tota! Programming Time— 2X Faster Programming Over Other 256K

EPROMs

• 200 ns Access Times— 5 V±W% Opera/ion— O /o 70° C Temperatura Range

• JEDEC Approved Bytewide PinConíiguraüon

m Silicon S/gna/ure™

DescriptionSEEO's 27C256 is (he industry's first 256K CMOSEPROt/i. li has a 32K x 8 organization and has verylow power dissipaíion. Its 40 mA active current isless íhan one hall ihe active power of n-channelEPROMs. !n addition ihe 700 pA standby current isorders oí magnitude lov/er than those sameEPROMs. Consequently, system memory sizes canbe substantially increased at a very small increase inpower. Low active and standby power is ¡mportant inapplications which require poríability, low cooiingcosí, high memory bit density. and long termreliability.

The 27C256 is speciüed over ihe O to 70° C tempera-ture range and at 5 V - 70% VQC- The access time iss'peciíied at 200 ns, making the 27C256 compatiblewiíh most of today's microcomputers. lis ¡nputs andoutputs are compietely TTL compatible.

Block Diagram

Mode Selection

Pin Configuratíon

AH | >

AC| >

V

_.

COLUMN

CONTROLLOGIC

N

>V

N

>V

ARRAY

1/0HUFFERS

'>MMM>IHBF~ H B>MBM

1

2

3

a

5

6

7

E

9

10

n12

13

1-1

— y ,28

27

26

25

2J

23

22

. 21

20

19

1G

17

16

vcc

A,4

AU

A6

AS

AU

OE

AID

CE

07

Ot

°s

Oj

o,

^^^-^^^^^ PINSMODE _^

ReadSianabyPtogramPfogram Venly

Progtain InhtbitSihcon Signalure"

CE

(20)

V,t

VIH

- vlt

X

VIH

VIL

OE

(22)

Va

X

Vi,,

VIL

VIH

VIL

VPp

0)

VCGVCGVPPVPPVPPVCG

Vcc(28)

VCGVccVccVccVCL-Vcc

Oulputs

(11-13,15-19)

DOUTHighZDINDOUTHighZEncodedDala

Pin Ñames

ACAR

CE

OE

00 -07

ADDRESSES — COLUMN USBADDRESSES— ROV/CHIP ENABLEOUTPUT ENABLEOUTPUTS

X can be either VJL oí V|H.For Silicon Sjgnature1": Ao-Aa are loggled. Aj = V[L. Ag = 12 V, atl other

addresses are at any TTL level.

seeo Technology, Incorporated

Page 268: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

EPROM Programming eeeo

Erasure Characterístics

SEEQ's EPfíOMs are erased using ultraviolet lightv/hich has a wavelength of 2537 Angstroms. The inte-graíed dose, Le., intensiíy x exposure time, for erasureis a mínimum of 15 waíl-second.'cm?. These EPROMsshould be placed with/'n one inch of the lamp iubeduring erasure. Table 1 shows the typical erasure timefor varíous light inlensities.

Table 1. Typícal EPROM Erasure Time

Light Inlensity(Micro-Watls/cm2)

15.000

10,000

5,000

Erasure Time(Minutes)

20

30

55

Intelligent Algoriihm \27QA and 27128)

AC Programming Characteristicsl^: TA = 25 ± 5°.c, Vcc

Programming

The 27QA and 27128 may be programmed using a/7intelligent algoriíhm or vúth a conventional 50 msecprogramming pulse. The iníelligent algoríthm im-proves the total programming time by approxlmately10 times over the conventional 50 msec algoriihm, ¡ttypically requires only 1 and 2 minute programmingtime for all 64K and 128K bits respectively.

The intelligent algorithm requires VCc - 6V and Vpp= 21V during byte programming. The initial programpulse width is one millisecond, followed by a se-quence of one millisecond pulses. A byte is verifiedafter each pulse. A single program pulse, wiíh a timeduraíion equal lo 4 times the number of one millí-second pulses applied, is additional given lo theaddress after it is verified as being correctly pro-grammed. A máximum of 75 one millisecond pulsesper byte should be applied (o each address. Whenthe intelligent algoriíhm cycle has been completed,all bytes musí be read al VQC~ Vpp~ 5V.

1 = 6.0 V z 0.25 V, VPP = 21 V ± 0.5 V

Symbol

ÍAS

toes

tos

ÍAH

tDH

tDFP

tvps

tvcs

tPW 2;

tOPW:3¡

tCES

tOE

Parameter

Address Setup Time

OE Setup Time

Data Setup Time

Address Hold Time

Data Hold Time

Output Enable to Outpuí Float Deiay

Vpp Setup Time

Vcc Setup Time

PGM Initial Program Pulse Width

PGM Overprogram Pulse Width

CE Selup Time

Dala Valid from OE

LimitsMin.

2

2

2

0

2

0

2

2

0.95

3.8

2

Typ.

1.0

Max.

130

1.05

63

150

Uní!

AIS

fiS

/<s

/IS

/IS

ns

US

/*s

ms

ms

/IS

ns

NOTES:1. Vcc musí be applied símullaneously or beíore VPP and

removed símullaneously or afler Vpp.2. Initial Program Pulse width loierance is 1 msec ± 5%.3. The lenglh of the overprogram pulse wili vary írom 3.8 msec

lo 63 msec as a luncíion oí Ihe iteraíion counter valué X.A. For 50 ms programming. Vcc " 5 V - 5%, Tpw = 50 ms

z 10%, and TOPW is not applicable.

Page 269: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Nat

iona

lS

emic

ondu

ctor

•f»¡P

LM11

9/LM

219/

LM31

9 H

igh

Spe

ed D

ual C

ompa

rato

ri ii

.¡G

ener

al D

escr

ípíio

n¡T

heMw

9> c

hip.

"

| fan9« 9 f

! su

pply

iÍ3i

andÜ

low

uitíi

o.m

e L

M7

QU

tpü

¡ R

TL.

: la

mps a

nd

; st

and i

/vi'4

Fea

tu

Ope

ra;

• M

inlr

ii

Vol

tage

Com

para

tors

Sárie

s sr

e pr

ecis

ión

high

spe

ed d

ual

fabr

icai

etí

on

a si

ngle

rr

tono

lithi

c(

are

desi

gnad

to

op

érat

e oy

er a

wid

e«J

pply

vol

iage

s do

wn

to a

sin

gje

5V l

ogic

o/ou

nd.

Fur

ther

, th

ey

have

hi

gher

. '«

• ¡n

pot

curr

ents

th

an d

evic

es l

íke

Q. T

he

unco

mm

ittea

co

ltect

or

of

the

mal

ees

tíie L

Ml 1

9 co

mpa

tible

wiih

and

TT

L a

s w

ell

as c

apab

le o

f dr

ívin

gre

lays

at

curr

ents

up

to 2

5 m

A.

Out

-at

ures

¡nc

luat

i:

Tw

o'gd

ep^n

dem

com

para

tors

¡

:s fr

om a

sin

gle

5V s

uppl

yly

SO

ns

resp

onse

tim

e at

i!5

V¡m

fan

-oui

of

2 ea

ch s

ide

:

• M

d*im

um i

nput

cur

renl

of

1 pA

ove

r te

mpe

ra-

ture

• In

puu

and

ouí

puis

can

be

¡sol

aied

fro

m s

yste

mgr

ound

• H

igh

com

mon

mod

e sl

ew r

ale

Alth

ough

dtis

igne

d pr

imar

ily

for

appl

icai

ions

re-

quiri

ng

oper

atio

n fr

om d

igita

l lo

gic

supp

lies,

the

LM

l 19

serie

s ar

e íu

lly s

peci

fied

(or

pow

er s

uppl

ies

up t

o ±

15V

. li

(ta

ture

s fa

ster

res

pons

e th

an t

heLM

l 11

ai t

he e

xpen

se o

f hi

gher

pow

er d

issi

patio

n.H

owev

er.

the

high

spe

ed,

wid

e op

erai

ing

volta

gera

nge

and

Jow

pa

ckag

e co

unt

mak

e th

e LM

l 19

muc

h m

ore

vurs

atile

th

an

olde

r de

vice

s lik

e th

eLM

711.

The

LM

l 19

¡s s

peci

fied

from

-5

5°C

to

i-125

°C,

the

LM21

9 is

spc

afie

d fr

om

-25°C

to -

t85°

C, a

ndth

e LM

319

is

spec

ified

fr

om

QdC

to

i-7

0°C

,

Sch

elpa

tic a

nd C

on

ne

ctio

n D

iagr

ams

Oid

.r f

Jum

b*r

LM

31

9N

S«u

NS

Pjc

k^a

. N

14

A

Ord

ar N

umb«

r L.M

U9J,

LM

219J

oc L

M3

19

JS

.. N

S p

jcka

J14

A

bat

LM

l 19

H.

LM

219H

or L

M319H

NS

Pic

kjq

a H

10C

Abs

oluí

e M

áxim

um R

atin

g S

LM

1I9

/LM

21

9V

oli*

j.3

SV

P

OW

BT O

iiupilio

n [

No

te 1

}

3GV

Ouip

ul S

hoít C

ircu

it D

ufJ

iwn

35V

IÜV

iSV

.

Toul S

upO

ulp

ul

IUG

ioun

d [o

N^É

tiv, S

uppl

y V

lo P

oiin

vi S

uppl

y V

o10 lec

C I0

125

-cto

85"

C-S

5*C

to

150'

c3C

O'c

Ele

ctric

al C

ha

ract

eri

stic

s

PA

HA

ME

TE

fl

Inpu

t O

líiei

Vol

lagc

(N

ots

4)

npu|

0/I

wi C

urre

ni (

Not

e

Inpu

i B

jiíC

urr

en

t

Volu

g*

Gjín

ñu:

Tim

e (N

oie

51

Sd

lufji

ion

^

Vo

lug

* (N

ote

4|

Inp

uiO

lfi«

t C

orre

r» (

Noi

e 4

]

Inpui

Inpu

t V

olta

ge H

jnge

'

niú

l ln

pu(

Vol

ug«

Sup

ply

Cur

feni

Sup

ply

Cun

en!

No

tí 1

: F

ar

(upply

volia

g«i l

tha

n 115V

tne

ahso

tole

xim

um

input

volt

ag

e it

equ

al 1

0 m

e tupply

vol

tage

,

No

n 2

: T

he m

áxi

mu

m j

uri

ctio

n t

empe

ratu

ra a

f til

a L

M\9

is I

SO

'C. w

hilo

ihai

ihe

UM

219

is 1

10"C

. F

or o

pcr

atin

g at

alev

aler

i te

mpe

ratu

res,

dev

ices

in

the

TO

-5 p

acka

gc m

usí

be d

urat

ed b

isad

on

a Itj

ern

ial

reiis

tanc

í: of

15

0'C

AV

, ju

nci

íon

to

ambi

an t,

or

4S'C

AV

, ¡unct

ion lo

cas

e. T

he

iner

mal

f a

sís t

añen

of

ihe

dual

-indi

na p

acka

ge u

JO

O'C

AV

. ju

nct

ion

to

artiD

itínt

,

Noi»

3:

Ttie

s* i

pe

cifica

iion

j a

pp

ly í

or

Vs

* £1

SV

. an

d th

e G

rou

nd

pin

at g

round. a

nd

— 5

5*C

< T

A ~

¿ ^12^0, unle

n o

ilier-

wis

a it

ate

d.

With

Iho

LM

219, h

owen

er. -

J\\u

spe

cific

alia

are

llnute

d t

o —

25"C

<T

^<

-t-

aS^C

. The

of t

set

votiá

g»,

offs

et c

urr

en

t an

d bía

i cu

rre

n!

ipa

citic

atio

n» a

pply

íor

any

sup

ply

volia

ge (

rom

t s

ingl

u 5V

sup

ply

up 1

0 11

5V s

uppl

ies.

Na

l» 4

; T

he o

ffse

t vo

lOgiíi

and

off

s-l

curr

trn

ti ui

ven

are

tne

xim

um

val

úes

rutju

imd

10 O

ri^t

trie

ou

tpu

i wu

hm

a v

olt

oí c

i-[íiw

sup

fily

witn

a \

A l

oad.

Thui. i

rieie

par

ante

teri

dcl

inu

an

err

or

bant

í an

d l

ake

mío

acc

ount

[f

ie w

ors

i ca

se e

ífeC

ls u

(vo

ltage

gam

and

inpul

impe

düoc

e.

Noli

5:

The

rus

ponn

; tim

e jp

ecr

fie

d (ie

e d

efin

idu

ns]

is í

or a

100

mV

tn

pu

i d

ep

wii

ti 5

mV

ove

rdfi

ve.

Page 270: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

a M

áxi

mu

m R

atin

gs

LM31

9

!:3

i N*

>> l

i Fo

r uj

pply

voJ

ii^í

i le

u ih

*n 1

15V

tht

abi

otui

e (T

umm

um i

npui

vun

uyc

.. -,

,— .

j Jf»

" 2:

Th

« íT

Wnm

um ¡

uncu

on i

cfnp

crm

re o

t ih

e LM

319

ii 85"C

. FU

I op

crat

mg

Jl e

kvai

ea u

mpe

raiu

tn. d

evic

ei i

n ih

eI .

Tp-

5 p*

<k*9

e m

uit

t*

aer»

i«j

baie

d on

a i

neri

rul

f«m

ianC

« oí

15

0JC

/W.

junc

tion

lo

»mb¡

eni,

or -

JS'C

/VV

, jun

ciio

n lo

c«i

«.

• "T

Í* i

ntnr

wl

icii

tune

e Q

| tft

e du

«l-i

n-lin

« pa

ctig

e ii

IOO

aC/W

. jun

ciio

n 10

atr

^bie

nt.

llM

pl*3

: T

hew

ip*

olic

jnam

»pü

ly '

of V

g •

tlS

V a

nd Q

'C <

C T

A ¿

70a

C, u

ní e

n o

ther

wii

e it

aied

.The

oU

iet v

olta

ae. o

ííie

tI

tnd

bi*i

cun

en!

sp«c

ilici

iicxu

app

ly l

or d

oy l

uppl

y vo

luge

(ro

m t

ung

lt 5

V l

uppl

y up

lo

115V

lup

plit

í.B

U •*

: T

h« o

thet

vcn

iage

i in

d ol

lwi

cuff

eii»

giv

en í

fe t

h< m

áxim

um v

alue

i ts

quit

ea l

o dt

ive

\nt

ouip

ut v

óihm

a v

olt

oír

supt

Jy v

silh

* 1

mA

lo*

d. T

hut,

IÍKM

par

amct

cn d

tlin

c tn

vii

ot b

jnd

and

lake

mío

¿cc

ouíU

ihe

wof

il ca

ie e

liec

tl oí

-cU

r

ui

típp

«unc

c.iic

urrw

: nxc

iücd

¡i

Icr

a 10

0 m

V m

pui

nep

wii

h 5

nW o

v

j: !-i i;¡ !'1 \,L

•Tyf 7S

D

MB

< ; I

Mo: n "

100

X

U 0

1.0

1.0

,.-

t.o

¡Í

3'°= |

¡a

1.0

_

a>

J

>--

°

S3

-M

¿ -

100

i.a S.Í

|

3.a

0

Ií 1.0 "a 0

£

-w

- _j

oa

c

7 5 "

X 1

*'"

t '•'

3

)ica!

P

erfo

rman

ce

Inpui C

uru

nti

X\

— -J1

AS

V

^•^.

DfF

SE

r

~T~t~

~

•sv _

Cha

rac

V

-O.í

S '

i-i.i

2-1.

»a -

¡.0 r-1 5

a.i

a.4

;te

rÍS

t¡C

S

LM

11

9/L

M2

19

.

Co

mm

on

Mod» L

imili

Tf*

mf«

r F

utictio

n

^* R

•^ák

V, •

tlS

V^

-

EfE

1—

Vt - i

l

.. .

1 !

1• -

s.ov

.vr

-5P-

CLI T-l

HED

IQ

SU

WLY

VO

LTA

CfS

IiV

.V,'«

S.

! |

I i

av

,v"-

0"

ÍEM

fEH

AIU

HÍl

'CI

TE

MfE

RA

IUH

E (

'CI

Ritponu

Ti m

i (o

r V

tíio

in

Híiponí*

Tim

* lo

r V

afi

ou

t

nput

Ov«

rd'iw

*i

Inpul

Ov*(

dri

i

_

rHr

\PN

nV =

eIH.V H

-v .-1 \

• i. • u '•i

• ;s

\™ i

V Olí

*c

-

mv

i.o

¡S

5-

=

l.a

_

a>

-

¿ 100

|S

Mz < 3

'

?0(W

^-m

V/A

7f-s

a

//!

/l.B

mV

-V

V, fli v-

• ilIV

_•

loott

"•

i.UV

• 2

5-C -

o M

IM

IM

lo

o zs

ü ia

o is

o

a

so

toa iw

700

no lo

a i

IIME

|«J

T

lWtln

.]

Ríiponi*

Tim

* f

ar

Vir

iou

j R

«ip

onu

Tím

* la

r V

i/io

ui

nput

Ovir

dri

wrt

Inpul

Ov»

td(i

i

ÍO\\V \>

>

,v \\»\,

fll

v 'A

•tlS

V _

-so

ati

^•¡

.OV

"•I

S'C

-

-

s-'

||

l.a

° D

í-

fl

>

1.0

> ¿ 100

so

j a

23L ÍT

.V i u1

1 1

1 t

//l/l

A.O

.,

//

^~

S.O

m\

1

V*

TA

i• 6.

0V

-•

SO

Oü .

•S.O

V- íi'C

'

Js> a

3

t

< Ü M

ar

*

ts=> S "

aí.a

0M

í»o

z 3 I

SO

1 0

sa

n

t "

I

1J

" 1

0

3 0

s.o

V, •

tlS

V-fl

L-U

Hl-

r* •

zi'c

.J-_

-

/ // y / -A

V-ls

v

~/~ V

"s

(.OV

i >-° c

!'° S

i.a C S c 3

.a -o

.*

-a.j

aj

a.i

i.o

Olf

FE

*riA

l. IN

PU

T V

OLI

AC

E (

mV

|

npul

Ch

ira

ct*

rjitic

i

V,

TA

• «1

W

•IÍ

C--

f-, 1

~T 4- I I

'T

- r*1

4; =±i r~ J_ ! i 1- 1

1

-,í,.,|.C

l

I

"1~

H-

-

0 -Í.O

-1

.0

1.0

S.O

11

Olf

f EH

EN

IIAL

INP

UT

VO

LIA

Cí |

V]

CX

iIpu

t S

atu

iatJ

on V

olta

fl*

T, /

2i'C

f

//

''^

\// // r. •

^'I, •

1¡S

*C

-wc

iNíu

iov

fMo

mv

t-*-I

Wio

™v

a so

10

0 ts

i M

U

íso

loo

iso

o

so

too

isa

¡M

isa IM

isa

o

0.1

IM

o.c

o.i

i.

IIM

EI»

) T

IME

(«I

OU

lFU

rVO

LIA

CE

lV]

upply

Cu

rr»

ni

Su

pp

ly C

urt

tnl

Ou

tpu

i L

imitin

g C

hjtjc

tiri

nic

i

/

OS

II z — --

veU

PfL

^1

'1 — 'Ñ

EC

A

H 1

TA

^ liví -t-2

SV

— [ 1 1

c st te 5 s

.a

t M I.D

— —

fQ—

-~f.

inví

sum

—4

^1

1

1 1Y

.V, -i

liV

1 1

FO

SlI

lVÍS

UP

rtY

. V

," S

.ÍV

~T~

t— 4—

í— I '

| 1

1 |

1 1

— N

EC

AII

VE

SU

PfL

Y

1 1

V, • i

-f V|-

-|

Ll

RC

UII

CU

Rfl

tV7

(-A

(

E

C

I S

(

/ V

\SM

Qfir C X /

Xro

inff

lo

I,IS

"C 11

1R

CU

ITC

LJf

lHfr

i i

x-*

¿>

ssir

-**

1^^5-

t 1Q

O

r -j —

a s.a

u

H

10

-s

í -3

5 -u

s.a

ib

*s

ti ií

la

b us

i

u

it i

SU

tTL

YV

QL

IAC

Íl.V

Í T

EM

J'IH

AIU

HE

CC

| O

UIíU

T V

OtT

AC

I (VJ

a

U l.i

so.

i s

.< U

(M)k

OU

VJI

Page 271: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Typ

icai

Per

form

ance

C

ha

ract

eri

stic

s LM

319

Tfi

ruU

r Fu

nctio

rt

oouu

r VOL

ÍACÍ

ivi

Nati

on

al

Vo

lta

ge

Co

mp

ara

tors

Sem

icon

duct

orLM

139/

239/

339,

LM

139A

/239

A/3

39A

, LM

2901

,LM

3302

Lo

w P

ower

Lo

w O

ffse

t V

olta

ge

Qua

d C

om

pa

rato

rsG

ener

a! D

escr

iptio

nT

he

LM13

9 se

nes

cons

isis

o(

fo

ur

inde

pend

en!,

prec

isió

n vo

ltaqe

Com

para

tors

vui

th a

n of

fset

vol

t-ag

e sp

ecifi

caiio

n as

low

as

2 ni

V m

ax f

or a

ll fo

urC

ompa

rato

rs.

Tríe

se w

ere

tíesi

gned

spe

cific

ally

to

opér

ate

[ro

m

a Si

ngle

pow

er s

uppl

y ov

er a

wid

era

nge

volt

ages

. O

pera

don

(r

om

split

po

vver

supp

hes

is a

lso

poss

ihle

and

the

low

pov

ver

supp

lycu

rren

t dr

ain

is i

ndep

ende

n! o

í th

e m

,igrm

ude

íhe

pow

i-r s

uppl

y vo

ltage

. Th

ese

com

para

tors

als

oha

ve

a u

niqu

e

char

acie

ristic

m

th

ai

the in

pui

com

mon

-mod

e vo

kagt

; ra

nge

mcl

udes

gr

ound

,ev

en

thou

gh o

pera

ted

fro

m ¿

sin

gle

pow

er s

uppl

yvo

ltage

.

App

licat

ion

área

s in

clud

e lim

it co

mpa

raio

rs, s

impl

ean

alog

to

digi

tal

conv

erte

rs; p

ulse

, sq

uare

wav

e an

dtim

e de

lay

gene

rato

rs; w

ide

rang

e V

CO

; MO

S d

ock

timer

s; m

ultw

ibra

tors

and

hig

h vo

ltage

dig

ital l

ogic

gale

s. T

he

LM13

9 se

ries

was

des

igne

d to

dir

tctl

yin

terf

ace

with

T

TL

and

CM

OS

. W

hen

oper

attid

fro

m

boih

pl

us

and

min

us p

ower

sup

plie

s, t

hey

will

dir

ect

ly t

nter

íace

wit

h M

OS

logi

c— w

here

the

low

pow

er d

iain

íhe

LM33

9 ü

a d

isnn

cí a

dviii

via

ge o

ver

stan

dard

com

para

iors

.

Adv

anta

ges

• H

igh

prec

isió

n co

mpa

r-nc

rs•

Red

uced

Vo

s d

rift

over

tem

pera

ture

• E

limín

ales

nee

tí ío

r du

al s

uppl

ies

• A

No

ws

sens

ing

near

gn

d

• C

ompa

tible

\vi

th a

ll fo

rrm

of

logi

c

• P

ower

dr

ain

suita

ble

for

batt

ery

oper

atio

n

Fea

ture

s•

Wirt

e si

ngle

sup

ply

volta

ge r

ange

or

dual

sup

-pt

ies

LM 1

39

ser

ies,

2

VD

C «

36 V

DC o

rLM

139A

ser

ies.

LM

2901

zl

VD

C t

or

LM33

02

2 V

rj{M

°28

or

±1

VD

C t

o l14

VD

C•

Ve

ry l

ow

sup

ply

curr

eiit

drai

n (0

.8

mA

) -

inde

pend

en!

of s

uppl

y vo

ltage

(2

mW

/com

para

-ío

r at

+5

VD

C)

» Lo

w m

put

bias

mg

curr

ent

25 n

A•

Lo

w m

put

ofís

et c

urre

nt

±5

nA

and

ofís

et v

olta

ge

i3 n

iV•

Inpu

t co

mm

on-m

ode

volta

ge r

ange

mcl

udei

gn

d•

Difi

eie

nti.

il m

put

vuU

aiju

ungí; i;q

iul

tu t

hupo

wer

su

pply

vo

lugt;

• L

ow

out

put

25

0m

Va

i'4

mA

iaiu

raiio

n v

ohag

e

• O

inpui

volta

ge

com

patib

le

wit

h T

TL

, D

TL

,EC

L.

MO

S a

nd C

MO

S

logi

c sy

stem

s

Sch

emat

ic a

nd C

onne

ctio

n D

iagr

ams

-v" 1

it

n

Ord

«r N

umb«

r L

M13

9J, L

M139A

J,LM

239J

, L

M2

39

AJ,

LM

339J

,L.

M33

9AJ,

LM

2901

J of

UM

3302

JS

*« N

S P

ícka

g.

J1

4A

Ord

»r N

um

b-r

LM

339N

, L

M33

9AN

,L

M2

90

]No

r L

M33

02N

Typ

ical

App

licat

ions

ÍV

-S.O

VDC

J

Page 272: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Nat

iona

lS

emic

ondu

ctor

LF14

7/LF

347

Wid

e B

andw

idth

Qua

d J F

ET

Inpu

t Ope

ratio

nal A

mpl

ifier

sG

ener

al D

escr

iptio

n

Fea

ture

s

Ope

ratio

nal

Am

plifi

ers/

Buf

fers

The

L

F1

47

¡i a

low

cos

í, [i¡

gh

ipeed

qua

d J

FE

T i

nput

op

cra

tion

al

am

plif

íer

wílh

an

iruern

ally

iri

mm

ed

input

oíf

set

volta

gfl

(BI-

FE

T

]|T

M

tech

no

log

yl.

Thu

df

ivlc

ere

qu

ire

í a

low

iU

pply

cu

rre

n!

and

yet

maín

uin

s a

lar g

ega

in t

wn

dw

idth

pfo

du

cí a

nd a

(as

i sle

w r

aie

. In

additi

on.

wull

ma

tch

ed

high

voh

age

JFE

T in

put

devi

ces

prov

íde

vary

lo

w in

pui

bia

i and

off

set

curr

en».

The

LF

14

7 Is

pin

co

mp

atib

le w

ith

th

e s

tand

ard

LM

Hfl. Thii

feat

ure

allo

wi deiií

jnefs

to ¡

mm

edia

tely

upg

rade

the

ove

rall

per-

(orm

ance

of

exis

ting

LF

14

8 an

d L

M1

24

des

igns

.

The

LF

147

m

ay

be u

sed

¡n a

pp

lica

tío

ni

such

as

hígh

ipeed i

ntu

gra

ion,

fasi

D

/A c

otiv

en

eri

, sa

mpl

e-an

d-ho

ldcircuiu

and

man

y oih

er

círc

uiís

re

quiri

ng

low

input

offs

et v

ohag

e, l

ow

ínput

bias

cu

rre

ni.

hlg

h in

put

imp^d

-an

ee,

high

sl

ew

fata

an

d w

ide

ba

nd

wid

ih.

The

dev

ice

has

low

nol

sa a

nd o

ffse

t vo

ltage

drí

ft.

2 m

V

50 p

A

0.01

pA

/\/H

T

4 M

Hi

• Im

erna

Uy

trim

me

d a

ffie

t vo

hage

• L

ow

¡nput

bias

cu

rre

nt

• L

ow

inpui

nois

e cu

rre

nt

• W

ide g

ain b

andw

idih

• H

igh

sle

w r

ate

• L

ow

supply

cu

rre

nt

• H

igh i

nput

impe

daric

e

• Low

tota

l harr

nonic

dis

tort

ion A

y *

10.

<Q.0

25Ó

RL

5 l°

k.

V0

= 2

0 V

p-p

. B

W =

20

Hz-2

0 V

• Low

1/f

nois

e c

órn

er

50 H

z

• F

ast

sett

ling ti

me t

o 0

.01%

2

ps

7,2

mA

Sim

plif

ied

Sch

emat

ic1/

4 Q

uad

Con

nect

ion

Dia

gram

Ord

ei

Num

ber

LF

14

7D

of

LF

34

7D

See

NS

Pa

cka

ge

D1-

4E

Ord

er N

um

ber

LF

W7B

N o

r L

F34

7NS

ea N

S P

ack

ag

e N

14A

3-14

JUjs

olut

e M

áxi

mu

m R

atin

gs

LF

I47

U-tJ

-t iv

xl

Cir

cuil

I_*»

;.oíl

iNol

» 21

LF

H7B

/

LF

347

i72

V

¡IflV

:3S

V

:30V

:19

V

:15V

Can

tinuo

ui

Canlin

uix

ii

Povw

c D

iiitp

Jdon

INoi.

31

Qpí

tJiin

g T

VT

WU

IUIÍ

RÍO

»*

[Sot

ad ir"

3. 1

0 ue

andi

]

LF

H7

900

mW

150'

C10

0'C

/W(N

on 4

1

LF

W7B

/LF

M7

500

mW

115'

C1W

C/Y

V

INoi.

4]

3QO

'C

OC E

léct

rica!

Cha

ract

eris

tics

Llrji

S.jn

JI V

olliO

í G

i.

Oul

p-l V

ollJ

»» S

*mq

r V

oiti-

í» H

«i«:

üon

RJI.C

, C

ulli

nl

CO

IIIO

NS

flS'

10 •.

'.'. T

A-

25 C

I,-

3S'C

VS

- -.

15

V.T

A-3

5'C

Vs

• H

SV

. flL

• 1

0 vil

V5- :

15

V

1135

•15

-13 10

0

ICO

73

300

50

10"

100

-13 ICO

V.m

V

V m

V

AC E

léct

rica!

Ch

ara

cte

rist

ics

(Note

si

Cl>

n B

iiOA

iaír

, P

ioJ^t

!

Ea-'.iH

nl ln

o-,1 N

aiu

VolU

gr

Eo^

..)ifn

t In

ool N

o.i»

I •

1 H

r-3Ü

VH

/lln

pul H

tlcdn

»

VS

'Tl5

V.

rA-3

5C

7A •

25

C.

fls.

100C

!.I-

100

0 ni

T.-

35

'C.

I •

IDO

OH

í

MlN

1Y

P M

AX

00

1

MlN

TY

P M

AX

00

1

MlN

TY

P M

AX

00

1p

A.v

• U

Uoitu

atti«

wiu

uM

Cid

rd Itit

Jbi

olul

» m

J-im

um n

tvtw

t in

oui >

olt*

í* i|

nju

^l 1

0 m

* nn

^tiv

e po

iwc

tupp

ly v

alia

4<.

• 1.

Any

ot

tn»

ímplit

nt

ouic

xjti

can

tx i

tioJi

*t)

10 g

ioun

d iix

)*lin

iuly

, ow

•»^(

, ir*

an in

*n o

n« U

ioul

d o

ol b

i nm

uliinco

uily

i/w

(t»d

Jt

ir>«

i<rv

.m jü

nclio

n tim

pir»lu

(t m

il b«

ncte

únl.

• 3:

Ftx

o(ií

(Jl]r

"j 1

1 r

lcvj

tíd it

mp<

(j|L

j(i.

ttitt*

Otv

-cit

mut

t tu

ü*f

JIR

J tu

t«d

on

¿ ih

ein

ut

ren

tun

cí o

f BJ

A-•

4: T

h* L

FU

7 ii

ivi.l

*bl«

m Ih

e m

ililir

y u

mpcr

jiut. lin

ij» -

55

*C <

TA <

12

5JC

, «hílt

m.

LF34

7B *

nq tíií

UF3

47 »

(« í.i,

l*O

i« m

in.

T»«

C^I

um

pvu

iuff i

tnoc

0*C

¿ T

A <

70*

C.

• i.

Unn

u c

iner-

iu U

MCI

(I<K]

Iht

uxvi

t<ca

iiont

Jpp

ly o

ver

tftt t

ull

iem

p«fJ

[uri

tinot

*n<3

loi V

g -

iMV

loi

inc

L.F

H7 io

a t

ix V

j -

115V

T^ U

F34

7B/L

F34

7. V

OS

.IB

.ÍIK

J 'O

S "'

nw

""'W

3l ^

CM

" °

í.

Tn«

mO

Jl b

ul

cuiic

ntt

Jft

(unc

iion

leik

joe c

udin

n n

hicn

4p

p<

oi'a

util

y üo

ublí

toi

(vcr

y 10

*C iix

rtJit

m in

e |u

nci<

on u

i)H>«i

Jlui

t>

S-*

Ig

Ijniu

d p

toou

ciio

n lo

l lim

e, m

e in

pul D

iil c

uiic

nli

meJ

Wrí

d J(

e co

nci

llad

10

|uoc

non

icn»

p4i»

iu(í

. In n

otit

ul t

>o«

íiiio

n in

r ¡u

ociu

in

i IIC

MTI j

unci

ion

lo íindiín

l. U

u o

í *

nei[

tmk ii

feío

mirw

nilr

d il

mou

i DiJ

i cu

irtn

i u lo

t» V

cpi 1

0 i

mín

imum

.•

7: S

^ppl

y vo

lteo i

cjrc

iion itu

o r

t mtJ

t*jir

ú f

ot

boin

uiu

oly

mig

riiiu

ud in

íiritir^

nrc

ititir

ng i.m

ulu

rxojil

y in

Jcc

otcu

nce

»iin

com

rrxu

i

3-15

TI

Page 273: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Typ

ical

Per

form

ance

Cha

ract

eris

tics

Inp

ut

8¡ai

Cu

rmn

tIn

put

Bía

i Cur

ran!

£

loo

-i

O

i 10

CO

MM

OH

-MO

Oe

VOU

ACE

(V

)

Po

iítiv

i C

omm

on-M

oda

Inp

ut

Vol

tagt

) LJ

mít

-50 -

tt

o

2i

M

75 100

Neg

ativ

a C

omm

on-M

oda

tnp

ut

Val

laos

Lim

it

O 5

10

li M

li

rosn

iví

sum

rV

OJA

|V]

Neg

ativ

a C

urr

en!

Lim

il

o -i

-10

-i

s

-ro

-T

ÍN

EQAI

IVE

SU

m.T

VO

LIA

U (

V)

Out

put

Vol

taga

Sw

ing

O 10

TU

10

10

OU

IPU

I S

INK

Gai

n B

andw

idth

O S

10

15

ÍQ

Bod

a P

loi

\O -:i

O

í¿

S

O

7i

tOO

12

S

IEM

KR

AIU

HE |'C

|

-10

-W -M

Sup

pJy

Cur

rent

O i

10 li

1 i

SUW

-í vouiíf i r

r,

Po

iitiv

* C

urra

nt

£ =

5

o 10

re i

»

QU

IPO

I ÍW

JAU

CU

MB

J M

Ou

tpu

i

; S

ID

t-ouirui u

ui

Sla

w R

al*

-M S

-100

-IS

O

_

i?

i »

S "

á «

«

]( K 10

Vi -

r tsv

V»?

**,

-i

0.1

1 ID

10

0

fRE

QU

£NC

T|M

Ht|

3-16

-50

-15

U

'75

i*

fl

Per

lorm

ance

Cha

ract

enst

¡cs

tCo

niin

ue

ai

Freq

uenc

y

Rcj

ecüo

n

U

10*

IDD

i |U

10

M

f«00£j.C

I (M

í]

i Lo

op V

oJta

ge G

ain

»OU

AC

E|iY

]

Un

du

tort

ed O

uip

ut

Vol

t*g<

;S

win

gO

ptín

Loo

p Fi

cque

ncy

Rei

po

nw

Pow

er S

uppl

y R

ejec

tion

Rat

ioE

quiv

alen

! In

pu

lV

olte

e mi

pim

í

10

100

U

13*

Ou

tpu

i Im

peda

nce

Inve

rter

Síi

ilio

g T

ime

lQm

V\

w¿

1DÜ

U

lO

i ID

O-

1M

TI

CJ

Page 274: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

- ¡ qs5' 2 X- S•o .. sT =•

!f¡¡re o. r* n

19 Os- 2 -C 3- ^ 3= S. e— • 3 a.

2. re 2 o.

33- s

(u O

£"=

C. 3

16 - c. 5 -a ? o g' 5— a í 5 "rr ™ = — -

co i

- - s 2 "n. K ^J =•

-5 2 o "?3S "S 3 p^ D.

3 "

- S. 3

" ll5 T, 3

o 3

LF147/LF347

<**^-o

o"

o"

w"

OUTPUTVOLTAGE SWING (5V/DIV) OUTPUTVOLTAGE SWINC (50 mV/OIV)

OUTPUT VDLTACE SWING [1V/OIV]

OUTPUT V O L T A G E SWING (SV/DIV) OUTPUT VOLTAGE SWING (50 mV/OIV)

TDC_enCD

DOO)wT)ODí/)CD

a fc

ÜDo

üí muí í S t fií :¡?iti Sü¡6 - - 5 » ñ

c.

. f,

^ í o a H 2 ?5 e - S § s - 3

3 ¿ 2.H

Í-4-VW

S *'

I 3

¡í¡i{

- a «- S1 c

íi

ZfrEJI/ZHJT

Page 275: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

Typi

cal

App

licat

ions

Dig

ital

ly S

alud

able

Pte

cnio

n A

tttn

uaí

or

Al

A2

A

3

00

0

0 0

1o

i a

0

1

1

1 0

0

1 0

1

] 1

0

1 1

1

VQA

TTE

NU

ATI

ON

0 -I.1

B

-2ií8

-3u

B

-JO

B

-SU

6

-GilB

-7d

fl

Accu

racy

at

bít

ler

(lia

n 0

.4%

wil

fl iia

nfljr

d I

X v

jlu

e (

tiiilo

/i

No

olt

i«t

M|u

iiín

en

¡ o

ecfi

wry

pa

nd

aD

I« I

Q a

ny

num

üer

o/ (IM

«

mput

jmo

etí

jnce

Long

Tim

e In

tegr

atof

with

Rai

al,

Hot

d an

d S

tart

ing

Th

feih

old

Ad

juilm

ent

í'J

(li ''

O">

'u

ro a

nd

js

cquJl

lo in

o i

nlf

jiil

I he in

pu

[ v

olt

aje

>vi

in r

eip

ec

l lo

(h

e Itircín

old i

. «r

1

Our

pui s

iart

í whe

n V

|fj >

VT

HSn

nch

SI

puf m

uí is

ofip

ing

¿nd

hold

ing

jny

ouip

ui u

<iu«

Sn

iich S

3 l

eiH

ii l

yti

vn

(o

leía

3^20

0>>c

al A

pplic

atio

ns

(Con

,

Page 276: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

WA

^u

iu^u

un

ru

^cn

o

^

^ .

~

~

^ 5^53^74^5^74^53

! S

I -

3U

AL

4-L

INE

TO

1-L

INE

DA

TA

SE

LEC

TO

RS

/MU

LTIP

LEX

ER

S

ecom

men

ótíd

ope

ratio

g co

nditi

on*

SM

SJS

15

1

MIN

N

OM

M

AX

Su«*y

«rfc«*,

VC

C

4.5

5

5.5

N«/»

-t-™

» o

uttxii c

urw

ot,

'OH

~ '

Loi>

»4ri*

i O

UC

EU

I mrn

nt.

IQ

L

^

Cow

ting Ir»

«»f

tarr

c«-»

tLrf»,T

x

~**

5

125

SM

74

SI5

1

MIN

N

OM

M

AX

47

5

5

5.2

5 -1 20

0

70

UN

ir

V mA

mA

"C

iact

ñcaí

dw

act

Brir

óa

ove

r re

com

mao

tied

ope

raún

g frB

e-ai

r te

mpe

ratu

ra r

ange

(un

lasi

ath

erw

íse

nota

d)

r A

RA

ME

TE

R

TE

ST

CO

ND

ITIO

NS

'

V|H

h«yil*

*«J y

pm

xatjg»

VIL

U

to-i*

ifW

UI v<

JU0>

VD

C

ti^uic

Uíio^tt^

V

CC-M

IN.

l|--lB

mA

vcc •

UIN

. VI

H -

2 v.

SN

WS-

VOM

~*~

— ~

«~.~

^

v,L

-oa

v.

iOH

--'m

A

SN74

S-V

CC -

MIN

. V

|H -

2 V

.V

QJ

Lo»l»

i C

MJg

m x

jog»

VIL-O

.SV

. lO

L-2

0m

A

1[

IrpLji ojrnni

M m

uLnun

ii

juí ia

u^

^C

C *

^A

X.

• 5.5

V

l!H

m

*v4«d

TO

JI eurr»nl

VC

C-

UA*-

Vi-

2.7

V

I|L

Lo-4

*— í K

»JI culrenl

VC

C-M

AX

. V

[ -

0.5

V

¡OS

&

an~

c*a

in o

uto

ut

cutrenl i

VQ

C -

UA

X

VC

C-U

AX

. A

li iro

ua

*!

1.5

V,

ICC

S

uoc^y

CX

«rT

«nI

All O

Jtp

UQ

Q

O*O

MIN

T

YP

l M

AX

2

o.a

-1.2

25

3

.4

2 7

3.4

0.5 1

M -2

-40

-100

45

70

UN

IT

V V V V V mA

HA

mA

mA

mA

*

ITP

^¡A

JJ typ^«l .H

> •

>•

.t V

cc-

5 V

.TA-

35' C

i Not

ITM

V*

Dx*

« &

**• ouoiu

i in

ouia

O* tn

(xr*

il «

I •

t-T

-f.

•"<!

dt<

r*iio

n o

í m

* uvxt

C>'

CL.

II in

oulo

nol

MIC

HO

uf>

. ~<on<j

witc

híng

cha

fact

arts

úci,

VQ

C ™

5 V

, T

A =

254

C

FH

Ofc

l T

O?

AflA

*t£

TÍR

l T

ES

TC

OW

DIT

IOW

S(1

NP

UT1

(O

UT

PU

TI

*tH

A

. B

. (X

C

Y

tfH

L

I41v«í*

l

VL

M

A. 5. or

C

w

ipriL

t3

lrv-t

l

[PH

U

VLH

r

F

Y

VHU

'PI-M

,i

mü_

- w

"fM

L

í>Jb4S

151.S

íJ74S

151

MIN

T

YP

M

AX

12

18

12

18

10

15

9 1

3.5

a 12

a 12

4.5

7

J.S

7

1 1

16.5

12

18

1)

13

8.5

12

m

1 VL

M a

Pto

o»i

<irf

>n

att

mf

(.n-— ,

kj—

-10

n^xi

>«•••

•* &

j|(j

_(

OT

E <

• S

M C

*r*~

* vi

lorm

»tio

n S

iCi-a

o I

K¡a

c t-

reu-u

»ro -

ai*

ji -

J.T

IO"^

»

1 5

• P

arm

ia M

ultip

lexi

ng (

rom

N li

ne^

to 1

lina

>"

Mi5

3.sN

54ts

iS3.

sN54

Si5

3 .

JORW

PAO

CAQ

, S

N541.1

B3

IP

AC

X.A

GE

j •

Per

forr

m P

aral

lel-t

o-S

eria

l Con

veni

on

5*74

153 ...

JORN

PACX

AGE

. ,,.

, .

. . .

' ^N

7-U

.S!S

3.S

W7

*S1

íi3 ...

D, J

Ofi N

PA

CX

AQ

E1

• S

troba

Itn

able

J Li

na P

rovi

acd

for

Cas

cadi

ng

nopv

iEW

(N líne

j to

n lin«)

irfi

UiK

:Su

¡ •

Hig

h-F

an-O

ut, L

ow

-lm

pedance,

To

tsm

-Po

le

i=

'faa

vc

ci

Outp

uts

a

L3

I5

J2

G•

. 1

C3

E3

14

3 A

• F

ully

Com

patib

la w

ith

mo

st T

TL

Circuiü

1

C2

C<

13 3

2C

3

ICI^

s

2B

2O

: IC

O^G

m

32

Cl

TYP

tCA

U A

VE

RA

GE

1

Y C

7

10 U

2C

OTV

DC

P

flOP

AG

ATI

OW

DE

UA

Y T

IME

S

GN

OfiS

9

Q 2

YT

YP

E

PO

WE

RF

RO

W

FR

OM

F

RO

M_

,._

..

__

Dr,

ac

Pfl

c

DIS

S1P

AT

ION

DA

TA

S

TR

OflE

S

ELE

CT

SN

S4U

153. S

N5*S

1S

3 .. .

PA

CtA

GE

•153

14 n

i .

17

ni

22 n

i 180

mW

S

N741.S

153.

SN

74

5 1

63 ...

F

H P

AC

IÓO

S

'LI5

3

27 n

t 34 n

t 44 n

t 90 m

W

(TO

PV

lfW

I

•LS

I53

14

nt

IBm

2

2 n

i "'

31

mW

u

í -S

1S

3

6n

t 9

.5n

i 12

nt

225 m

W

ml^

^

¿

Sg

/

IZJLU

I_IL

J1_I \^

[

32

12

0 I

B \

O

ttacnpoon

n

J

: H

1C

3]4

1

8[A

:

E»ch

that*

in

ooolithie

. data

to

iecic

x/m

uitip

UM

n

1C

2J

5

n [

2C

3

conta

ü-u

Ín

vw

Ti«

and dnw

s

lo

suppíy

(u

Uy

coo>-

NC

] 6

16 [ N

C

pie

rronia

ry,

oo-c

hip

, b**rf

decodm

g dau

«ola

cóon lo

1 C

l ] 7

li [

2C

2

th«

AN

D-O

R o

atja.

S«para

i« s

ito

bo m

puu

ara

pro

vió

ed

ICO

] B

14 [

2C

1

^=

fw «ach

of

the rw

o four-

lir*

tections.

\ 1

0

1 1

12 13 /

ffa?

>-

Q U

> g

^^

FU

NC

TIO

N T

A8

LE

"

2 M r,

*****

SE

LE

CT

mIN

PU

TS

D

AT

AIN

PyT

S

ST

RO

BE

O

UTFU

T

NC

- N

o ^

-md c

c™

^

LJJ

^

' ^

1L-

_

M

x -7

-7X

— -

x —

x —

--T

~

[~ -

Y--

. ^

í? C

L

' "

u

L

x

x

x

V

™'L

U

-l

2i

'¿

L

L

H

X

X

X

H

^

^\

I-

HX

LX

L _J

OM

U

H

X

H

X

X

j}

H

-H

- ,

?H

LX

XL

X^

L

^

J¿

ÍM

LX

XH

X

'(; H

ll

HM

xx

XL

Í1

. L

hH

HX

XX

J^

&

H

^^

5*

1 M

t in

i>jt« A

«nd B

4f.

cQ

mm

on

10

t-oin

vclioni '

abso

luta

máx

imum

rat

íngs

ow

r op

erüi

iny

Iret

-aír

lem

püra

iura

ran

mi

(un

lwi o

th«f

wim

not

ad)

Sup

plY v

olu

g*.

Vcc

(*

« M

°l« U

7

VIn

put

wlt*g

a:

'15

3, 'L

153.

"S15

3 . .

..

..

55 V

,i 'L

S153

..

? y

Opt

írau

ng í

re«-

air

[em

pera

iure

ran

ge:

SN

54

' -5

5°C

lol2

50C

SN

7¡T

0

aQ

Io7

o°c

Sio

rage

lem

pera

ture

ran

ge

65°

c (

Q ,

50o

c

>;,

P

KO

OU

C1W

* O

AU

.T

l-V

AtL

-

A.T

U

<1 '•*—

«l"-<

>•'«

-• l.t. C

MIt

.I .1

_,

,/il

o 1 t

.XA

i3

x

oí pu

ádín.

M 4

n, P

IM.C

I, í(w

tjt,

ID cm

, IP

YA

C;

^^

'

MC

TT

IDI

IV 1

tTM

TT

C

t l

tH-íJH

O'fl p

* to

. l»

un

oí [,i,

t U

iiruni«

.u

1 1 l_

/V^J

C

RQ

iNS

TR

UM

tN

T^)

1U

Ml(a -(l

ffn

tl P

lWjr

l(a

a w

oe^

q aj;

ÍN

ST

RU

M E

NT

S

3'5

»CJT

C:t

¿;« .:í

'í «

¿I-

-.-S 'i'-i 'ilíí

,1

""

' m

tnri

lj IM

HU

IU Inun^

ot ill uíim

.i«L

¿10

.

"~*

*' "' S

:" Í3Í°'1 ' :

*'

~'

It<

11* Ii;''

' ""

-J

Page 277: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

r'»L

¿n\(

o¡ ••

ytn

>sa

uo

• *•

• —

— •

->--

-~.~

E T

O'1

-XlN

E D

AT

A S

ELE

CT

OR

S/M

ULT

IPLE

Xtf

iS.J

A-

4-LJ

NE

TO

i-LIN

E D

AT

Á'S

E'u

EC

TO

RS

/MU

LTlP

LEX

ER

S

11-11

121

I5(

l¿i

tól

Ul

13 1

US

i _

a2

i-ilül

(111

1171

[131

7d

°\,}

i

rM

u A

EK 0 1 2 3

171 !91

7 Y

scha

man

cs o

í tn

puu

aru

j outp

uu

TEXA

SIN

STRU

MEN

TS

EO

U1V

ALE

NT

OF

INP

UTS

OF

'153

. 'U

53

EQ

UIV

AL

EN

! OF iS

.O

f LS

I U

EO

JJIV

ALÉ

NT

OF

ALL

OTH

EH

IN

nJTS

OF

'LK

IU

•J+

TY

PIC

AL

OF

OU

TMJT

1 O

F '1

W,'

LlB

3

•153

: H

• 1

30 n

NO

MX

R -

260

n N

OM

TY

PIC

AL

OF

OU

TPU

TS O

F'L

SlS

3TY

PIC

AL

OF

OU

TP

UT

SO

F-S

1"

VC

C

DE

VIC

ES

TEXA

SIN

STRU

MEI

NTS

3-57

1

Page 278: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

7 —O •" -2* ror, i

rs irlií í!f ? ?? f fr 'u •f »

i H -^ [. 0

i f ')

¡' '• o

' v I!• '. •f,

f,

>

}

i

!

t.

f £- 3:

n v.• 3' íu oí

_ ! _ _- en

j «Jj -*•

3

ír

!f5

í.i„

— fi

•j

3

•?r-2

f

3

D

-j• i• •

i

Ul

K

3

•sXr

Pc

'

n

w

a^

-e2

PC

i5

UT

D

1ftlu

FR

OM

iNn/T

i

I,3 D

-t:src

o

zo

1

J-

-4-<T

>K

cZH

P

íS's§H-D*<noiitn<H>

1!roU1

n

O 7 > T•j £ = S

3 HH

>

1?.

I_".t"

tl>

''

•Ju.

c

6r

í

?

f

F|

'•

11 1

-JO

n*

o-

3>

OI

I

!

Til "O

I

S

_<r

[

|

1

í

¡

<5

a

*iIi_

1-

{

<nn

ff

(

i.

1 .' ''"

1'J

*•P*•"

,

L-

V'v

Ei

»no3im

en

do

exo13a-Cu

5tonO

o.

OD

;¡pE £

IP

>•X

i'-: .,-.*-;

Iot.

5>

1

tr

&^

£ 5u-

í>.

C

H

moo

55m

TTL DEVICES

Page 279: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

2=c/)

•a:L/J

« _u *JJ3 £

I ií i* •

-? ° E fi S""

a•

lf- 5

t;

z M N ? tiLJÚúúoacr [ ! ; á I •E H

(/I "

|PS^fett':&te '-'.< .»B^-;ts.'-^íW^t', : I

• o: o!cc. i—

tJl OLU^rX

CJ

H í

Jy

1gr i TTL DEVICES

FBS

:S9

•"S

Page 280: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

SN54

122,

SN

5412

3, S

N541

3Q, S

NS4L

122,

SN

54L1

23, S

N54

LS12

2, S

N54

LS12

3.SN

7412

2. S

N74

123,

SN

7413

0, S

N74

LS12

2, S

N74

LS12

3R

ETR

IGG

ERAB

LE M

ON

OST

ABLE

MU

LTIV

iBR

ATO

RS

desc

npti

on ¡

cont

inue

d)

cc-n

an

i. u

m»c

M t

NO

TE

- R

rvij

&r'

r—«

n*^i

u>g

-wío

'i 0

.27

CL

,., |

m t

»col

'»-3

i) "

••

FIG

UR

E 1

-TY

FlC

AL IM

TM

TÍO

Um

JT P

ULS

ES

'1Z

2.'

LIZ

FU

NC

TIO

M T

AH

LE

*ir

i*1

30

.*L

17

3.'

LS

12

3F

UN

CT

ION

TA

BL

E

CL

(**

\ '

•" H - - « t I

'1 X

I *I

13

i í

L i

L

I

t M

L

1

H

1

«

1.

1

H

I

V M

|

«

I

M M

1

I H

M

,

H

M M

t

.

» M

11

..,-

Q

0

Vi

Hl

Lt

Kt

L!

n u

n u

n u

n u

ji i/

n.

vn.

\J-

L

-LT

-a

u-

47

8TE

XAS

INST

RUM

ENTS

SNH

L123

. SN5

4LS1

22.

SNS4

LS12

3.•*

7

_

LS

ias|J

74

LS

12

3

r-t.,.;.

y P

MQ

HQ

STA8

LE H

ULT

IVIB

RAT

OR

S

logi

cdia

gtam

[aa

ch m

uhrv

ibra

tDf)

130

L

123.-

1.S

173

logi

c ! R

l C

X C

X

13,1

m

il

H3i

|

i i

tnm

,

logi

c rj

rnbo

l

•12

3.'1

3a

.'L1

23

.'LS

lZ3

Page 281: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

^122,

SN54

123,

SN5

413Q

, SN

54L1

22, S

N54

L123

, SN

S4LS

122,

SN

54LS

123,

^741

22, S

N74

123,

SN

7413

0, S

N74

LS12

2, S

N74

LS12

3"T

ñlG

GE

RA

BLE

MO

NO

STAB

LE M

ULT

IVIB

RAT

OR

S

inpuri

and o

utf

xm

"122. '

133. M

».

'L1

22

. 'U

12

3 C

IRC

UÍ T

S

•So

CI—

in

pu

no

l '1

73.

'123.

M3Q

R

^ •

n N

QU

Otl%

-. -

rxw

ti o

í '1

73.'173

'1

30

R^

-tín

fO

U

CJ*

w m

ouii

PU

?2

. *L

I73

R

.Q •

4 lfl

NO

Ucn

ivt

-ITDW

I 0(

'1173

. 'una

R

.3 •

a m

NO

M

t'1

72

M

73

. M

3Q

fi

• ID

O C

l N

QU

•U1

72

.'L

17

3

fi

• TO

O (

i W

Qu

•U1

I7.-

LS

U3

CIR

CU

ITO

EO

UlV

AL

tMT

OF

[A

CH IN

PU

IT

rPIC

AL

Of

AL

L O

UT

?T

JT7

, TE

XAS

INST

RUM

ENTS

SN'5

4122

, SN

S412

3, S

N54

130,

SN7

4122

. SN7

4123

SN

7413

0R

ETR

IGG

ERAB

LE M

ON

OST

ABLE

MU

LTIV

IBR

ATO

RS

reco

mm

ende

d o

pe

raiin

g c

on

dili

on

i

5u

PD

)V<

rotI

»g

,I.X

CC

.

H.^

irvr*

tx

j'pu

- íu

»t«

- IO

M

LO

—J»

**'

ou

ttxJ

t C

uir

rnt.

IQ

^_

. ft

ílw

~0m

. i_

E.l.r

n-i

ttt

r-n

9tf

*nt»

rt«

.R(.

,

£.,

««

• e

W,.«,C

,.,

CtK

<.|.n

9'i~

*»l.T

t«T

.tu

rt T

A

W&

4'

MIN

N

OM

M

AX

* 5

5 5

5

-800 16

40 5

7S

No

'rt

tltC

lTO

O

-55

17

5

SN

74-

~~I

MtN

N

OM

M

AJÍ

~

47

5 5

s.TS

-soa 16

4O

5 5Q ^

_0

70

imiT V u*.

mA m Ul •c

eléc

tric

a! c

hara

ctB

f utic

s o

ver

reco

mm

ended

tre

e-aí

r op

erau

ng tam

pera

ture

range (u

nle

si o

therw

iie n

oted

)

P&

RX

»*e

TE

R

Vm

H

ujn

-lfv

tl .naul ^o

H*jc

V(j

_ L

tm-i

rvr*

inpul

voit

wg

t

V|^

In

oul

cum

o «

011*

9;

VQ

M

M-í

^'lf~

1*'

outc

ul

•o' 1

*9»

VO

L

l-í

-1*-

1 O

JltH

Jl -3

U»i»

li

IfTxJ

l cu

'irn

l il

rrm

«uriu

r-i m

oul volit

ar

1 D

il)

-np

ull

'1H

M

-y>J

<-»T

l ix

>Jl

ciX

fnit

[— ;

1

j D

J|» -npoll

1(1

LO

"-*r

>»l ip

oul cu"*

"t

I — ;

'

'OS

S

nol-ei'c

uH

Eíu

tpul.cur-

r^i*

| 'c

e

Suool»

cvK

iinl

IOU

-OC

MH

O'

H«)g

r<M

I

TE

ST

CO

HD

niO

NS

1

VCG

' MIS

- '

' -'

?m

AV

CC •

M1N

. IQ

H ' -

SO

O^A

NQ

U 1

VQC

" MIN

'o

í "

i6m

A.

5<

t N

QIC

í

VC

C "

MA

X.

V(

• S 5

V

VC

C •

MA

X

V,

• 7

< V

VC

C •

MA

X.

V,

• 0

* V

VC

C *

WA

X

Sft N

ott ^

VC

C"

WA

X

S«t

Notn

6 «

id 7

M2

3

MIN

T

YP

I >A

AX

3

08

-1

S>

1t

0.7

0

* 14& 60

-1 5

-3.7

-10

>073

3

6

•123. '1

30

M1K

T

YP

; M

AX

3

DS

-1.5

3*

07

0

< 1

*Q 80

-1 6

-32

-10

~*0

«6

66

UN

IT

V V V V V mA

„*

mA

mA

mA

NQ

IES

i-

Cic

x^

i^iC

., ,iD

'-4

««

'i V

QH .

|Q

VO

L .|

Q

o't

Qs

«lQ

C

.,,

« o

o-n

lo

T—

«^

ir» V

OM "

&

t>.

Gu

>*«

«"i i

cc i

T*,

iK,t»i)

i>

't»

. c

>**

'mg

l —

im 7

* v

«:

- O

07 u

1.

«íW

! n

tl,-

7S

>ll "j,,

ni

'12

2 >

» oo.n

.

;. ]c

-

B m

*H*.

t*a »i

tn« tr^^-*

»a

IU

T» —

iiri

7 *

V

Kx>

l-*a ™

•!

'*•»

*"a

B "

*ou

ii. *

>r«

un

B«O

UÍX

W<J •"

ounujti o

o*"

O.O

! uF

»"

<J R

..(

• 7b

-1

1 ",n

l o

í 1

37 «

cx»n.

switc

hin

g c

harB

Crirtid

. V

QC

3 5

V, T

^ -

25°C

, ic

e n

ote

8

PA

RA

XE

TE

R'

FR

OM

I1N

PO

T)

IP-M

1

i

VH

l,

'P«l

'"L

H

_

iwO

'"v't-

'-O

¿ B

°-

A e

x B

TO

IOU

TP

VT

)

Q 0 0 Q

TE

ST

CO

NO

IT1O

NS

c,,, - o

R

,,, -

íi v

n.C

(_ •

15 D

F.

RL -

400

¡1

C,,,

• 10

00

Df-

. R

,M •

10 M

I.C

L •

15

oF

RL -

40

'173. '1

JO

MIN

T

YP

M

AX

77

33

19

TEDO

*0

. 37

36

30

40

3 0

8

34

7 3.7

6

'123

MIN

T

Vf

MA

X

2!

33

19

7S30

40

77

36

30

*0«5

65

7 7

6

3.0

3 3,

37

UN

IT

n,

» m «

t^Q

- -

hO

m o

* cu

l— n

C

KJC

Ajl G

TEXA

S v

INST

RUM

ENTS

<tS

l O

'IlC

t IC

' 7ÍÍ31I

• 3*1

1 <

4 tt i

i ft

ítl

3-4

81

Jft?á?;t?í

üS

' •E

fiíÜ

S^H

ár

^^

Page 282: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

TYPE

S S

NM

L122

, S

NM

L123

,R

ETR

IGG

ERAB

LE M

OSO

STAB

LE M

ULT

IVIB

RAT

OR

S

reco

mm

ende

d o

pera

rtin

g c

ondi

tions

eléc

tric

a! c

hara

cter

istia

ove

f rec

omm

ende

d fm

e-ai

r op

erat

ing

tem

pera

ture

ran

os [

uníe

ss o

ther

wis

e no

ted]

O.O

3 u

f,

va

R

,,,

- 3

5 i

fl

H,n

l o

í '1

.17

3

witchín

g c

haractE

rirticj, V

CC

= 5

V, T

A =

25*0, ree

--L

H

•= «

^^K

K, o

., lm. .

.^

wv

>(

-HL * D

>M

«.i

.on «

,„ ,.m

. f,

.^ ,

„ ,„„.,.,„

ÍQ i

~

.a

m 0( D

UlM .!

oi.tD

L.1

Q

-C

TE

8 ^

c

w^^s^,,,,,

TEXA

S v*

INST

RU

MEN

TS

TYPE

S SS

MLS

122.

SNS

4LS1

23, S

N74

LS12

2, S

N74

LS12

3RE

TRIG

GER

ABLE

MO

NO

STAB

LE M

ULT

iVIB

RAT

OR

S

reco

mm

ende

d op

erat

ing

con

diti

on

i

So

oc-f v

oll>

9f.

VC

Q

Hiy

iJrv

iH O

Utp

ul

CU

ftrn

l. I

QH

LO

i»Jrv

«l ooip

ul

cu

if»

nl. |

QL

E>

lt'n

*I n

m.n

í i»

*i»l»

nci. R

,,i

Eitrf

Tu

J c

x»cilir>ci. C

,,i

Win

ng

CM

MC

iUncr

J' B

,(t''C

,,| l»

rin>rx

*(

Oofinrv

? Irm

ir tK

rom

tun. T

^

SN

MLS

'

MIM

^W

>^

MA

X

4¿

5

5.S

—400 ¡~

*c 5

ISQ

No m

lric

lKX

i

so"

-55

12

5

SN

74LS

' j

MIN

N

OM

M

AX

i

4.1$

5

5.2S

' -io

o" 8

40 N

o r

undio

s

50"

0

70

UN

IT¡

V uA

mA

"oT"

C

eiec

tric

al c

hara

cterii

tics

over

rec

omm

ende

d o

pera

ting

fre

e-ai

r ta

mpe

ratu

re r

ange

(unle

c ot

henv

ise

not&

á)

itchin

g c

hara

derist

ia.

VCC

- 5

V, T

A -

25°

C (

see

note

8)

f AH

AMET

EH'

IPLM

'PH

L

IPH

IIP

UH

'wQ

FS

OW

A B A B

Clu

r

A

c» B

TO 0 D 0 Q

TE

ST

CO

ND

IT1O

NS

C.,,-

0.

R,,

!'5

1-1

1-

CL«

15

oF

. H

L-^

kn

~ttit '

1000 0

F.

R,,, ' 'O

1"

CL-!5

pF

R

L '

? v

tl

MIN

T

YP

M

JVX

23

«

32

'*34

&

6TO

77

28

«11

6

TOO

«4

5

S

UN

IT

"'

~"~

^j

- ««A

ti o

í !»

>•*

«I D

uro

ut Q

TE 8

S-

— G

«v»i In

lorm

Mio

n S

*CI<

on

Io>

TEXA

SIN

STR

UM

ENTS

Page 283: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

H

TYPE

S SN

5412

2, S

N541

23, S

N541

30, S

N54

L122

, SN

54L1

23,

SN74

122.

SN74

123.

SN74

130

RET

RiG

GER

ABLE

MO

NO

STAB

LE M

ULT

IVIB

RAT

OR

S

TY

PIC

AL

AP

PLI

CA

TIO

N D

AT

A F

OR

'12

2.'1

23

. '1

30, '

L1

22

, 'L

12

3v:

cF

or

puls

e

wid

tfts

w

he

n

C^j

<

IQQ

Q

DF

Sí*

1

Fig

ures

4 a

nd 5

.

The

outD

ut

puls

e

n

pri

ma

nly

a

íunci

ion

of

ih

e

ext

ern

al

cap

aci

tor

»nd

resi

sto

i. fo

t C

^tl

> l

OO

Qp

F.

the o

utp

ul

puls

e w

idtti (l

w)

is d

eim

ed «

:

07

1 +

K i

s 0.3

2 l

or'

12

2.

0.2B

for

M23/1

30.

0.3

7 f

of

'L122. 033

for

'L123

Rf

is t

n K

íl {

inte

fna

l tx

en

ecr

u! hm

ing

fesi

iiance

.)

tw is

m (

To

o

rere

nt

revt

rs*

voltj

ge

ac

rois

C

tn.

tt i

s re

com

-

met

Kle

d

tíia

t th

e

rpeth

od

sh

own

in

Fig

ure

2

be

em

olo

ycd

when u

sing

ele

cuoly

iic C

AOKJ

IDTS

an

d m

ípodca

noni ulilm

og

the

cw

aí l

unct

ioo

In

all

«opi

ica-

tton

s us

ing

tne d

iodc,

the

pul

se w

idm

is

/ 0.7

tw =

KD

-RT-

CC

IÍ i

—V

RT

KD

is

0.28

for

"12

2.

0.25

for

'123

. *1

0.3

3 f

tx-U

22

. 0.

29 l

o--

L1

23

5

',

Any

ulie

on n

nito

T"

CM

( T

oH

(1|/

Cfl

ll*

tmifx*l

r(

*min

»l

TIM

ING

CC

**K

>NE

KT

CO

NN

EC

TIO

K W

MEN

C,,

-•

IDCX

JpF

AN

OC

LE

AR

ISU

SE

D

FIG

UR

E ?

Aoplic

aiio

ns

rrquin

ng

mo

re p

teci«

pul

se «

idth

s lu

o

lo 2

8 l

íCD

rxJs

l a

nd n

ol

feq

um

ng

the

cle

at t

eatu

ie c

an

twsi

be is

nsl

ied

wim

iht

'17

1 a

< 'L

12

1.

'-p

C'.t

iMiN

C CO

MPO

NEN:

CDN

NECT

IOKS

FIG

UR

E 3

•122

.'123

.'130

TY

PIC

AL

OU

IPU

T P

ULS

E Y

YIQ

TH

EX

TE

RN

&LT

IMIN

G C

AP

AC

ITA

NC

E

3-4

84

T

"i E

1XA

S

^"IN

STRU

MEN

TS•«

I 0

"IC

! S

O'

ÍÍSC

17 •

D*H

*5 H

**S

ÍMtt

f.g

figA

L'itf'

goj!S

ii4j

£<!ff

:vt

J.'j!ñ

Lq3 tfi¿

.rj..x

.

TYPE

S SN

MLS

122,

SNS

4LS1

23, S

N74

LS12

2,SN

74LS

Í23

RET

RIG

GER

ABLE

MO

NO

STAB

LE M

UIT

WIB

RJU

OR

S

TY

PIC

AL

AP

PLI

CA

TIO

N D

AT

A F

OR

'LS

U2,

'LS

123

Th«

bas

ic O

Jtpm

pub

e w

xJm

D

t^*

v»lu

«5

of

u«« F

^ure

7.

or m

ay t»

(ic

fined

as.

i*v-

K-R

T-C

^

Whe

n C

flfl

_>_

! yF

. Th

« ow

tpui

pubc

»nd

Th a

def

ined

K t

s n>jtt

jpi)«

( ía

citx

, to

e f

igur

a 6

RT

"a

in K

otv

ra l'm

Um

fll

pF

TIW

ING

CO

MP

ON

EN

! CO

NN

EC

TlO

fíS

FK

JUR

E6

to t

he C

^n n

ooe.

*vw

i th

oogh

rhe C

t,

node

o

tted

to

th«

artx

inO

tea

d ¡n

tem

aUY

. D

ue

10 t

T>e

usad

fay

th*

'IS122

w

xJ 'L

S123. i

diod

e *

IX

M n

xjuira

d t

o p

^ff*

«

ng

wtw

o u

stng

eio

cuo*

>uc

capeoto

-s.

'LS

12

2

'LS

17

3

TY

PIC

AL O

UT

PU

T P

ULS

E W

lDT

H

vi

EX

TE

RN

A!.

TIM

tNG

CA

PA

CIT

AN

CE

TEXA

SIN

STRU

MEN

TS3-

Page 284: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

MUL

TiVI

BRAT

ORS

TY

PIC

AL A

PP

LIC

AT

ION

DA

TA

FO

R '

LS

12

2.

'LS

12

3I

MU

LT1P

LIE

R F

AC

TO

R

D1S

TR

ISU

TIO

N O

F U

NIT

Sti

EX

TE

RN

AL

CA

PA

CrT

OR

'

OU

TP

t^ P

uTsE

WID

TH

5

0.1

- .

u é £

o.oo

i

OD

OQ

1

(K I

SIN

DE

PE

NO

EN

TO

FR

]

-i

f-

"0-2

5

OJO

0.

35

0.4O

Q

.45

030

03

5

K -

MultiplM

r F

Kto

r -

FIG

UR

E B

VA

R1

AT

ION

IN

OU

TPU

T P

ULS

E W

IDT

HT

I

SU

PP

LY V

OL

TA

GE

t—M

ED

IAN

— f3

8%

DF

UN

ITS

Motn, _

Qvt

fxrt

PuU

* W

khft

FIG

UR

ES

VA

R IA

T1O

N I

N O

UTP

UT

PU

LSE

W!D

TH

FR

EE

-AIR

TE

WP

ER

AT

UR

E

*n -1% -Tí.

-75.

\

OI-

10

K o

hm

i-

a:3

70

r•5

V

4-?

5

5

SJS

5

3

VC

C -

Su

pp

ry V

olug

» -

V

FIG

UR

E 1

0

•Vií'i"

-^

¿iifi

.>. „

.

•vi*

••-•'-•

*?

•:

^t "

-7

5-5

0 -

S

0

25

50

75

100 1

ZS

TA -

F

RE

E-A

IR T

EM

PtR

AT

UR

E -

°C

FIG

UR

E 1

1

TYPE

SSN5

4S12

4.SN

74S1

24DU

AL V

OLT

AGE-

CONT

ROLL

ED O

SCiL

UTO

RS'

Tw

o I

nd

epen

den

! V

CO

'i m

a

16

-Pin

Pac

kage

.Ou

tpu

t F

req

uen

cy S

trt

by S

ing

le E

xtern

a!

Co

mp

on

ent:

Cry

rtaí

fo

r H

igh

-Sta

bili

ty

Fix

ed

-Fre

qu

en

cy

Op

eraü

on

Capaci

tor

for

Fia

ed-

or

Var

iab

le-F

req

uen

cyO

per

atio

n

• S

epár

ate

Supply

Vo

ltag

e P

ins

lor

Iso

lati

on

of

FVeq

i>en

cY C

on

tro

l In

puts

an

d O

scil

latc

mfr

om

Ou

tpu

t C

íro

mry

• H

igh

ly S

tab

le O

per

atio

n o

ver

Sp

ecif

ied

Tem

per

atu

ra a

nd

/or

Supply

Volla

ge

Ran

oes

• T

ypic

al fm

ax

, 85

MH

:

Typ

ica

t P

ower

Dis

sip

atío

n .

.......

52

5 m

W

» F

req

uen

cy S

pect

rum ...

1 H

z to

60

MH

z

desc

riptio

n

Tnp

'S12

4 fe

atur

eí I

wo

inO

»o«n

dent

-ot

iage

-con

troi

-te

d o

icilt

jlori

[V

CO

- m

¿ ii

mjle

morw

l.lhic

cr-

ip. T

neouto

ui

írfQ

uenc

y ta

c*'

VC

O

>s es

taol

uhed

í

wng

le

ener

nal

com

pone

n:,

e in

ei

3 ca

paci

loi

o<a

cryu

al.

,n c

ombi

nat-

on w

itn

tv-o

vo

ltaoe

-sem

iltve

inpu

ts.

one

tor

freQ

uenc

y ra

rvje

ar

xd

one

tor

fie

Que

ncy

cont

rol

The

íe in

outi

can

be u

ied

lo

va

iym

e outp

tj;

fie^3

uenc

\ *•

ihow

n o

ooe*

ly

yica

í cn

ai-

lo opefil

e a

t an

y lie

oo=r

>CY

typ

-cjll

y be

iwee

n 0.

12he

nz

»nd

85 r

rvw

garie

ri/

Und

ei ir* c

ondili

oni

useü

m

F-gu

fe

3 th

e ouio

ul

¡teq

uenc

y ca

n be

app

foxi

-

SN

WÍ1

34

JOfl

WP

AC

XA

GE

SN

74S

124

D. J

OflN

PA

CK

AG

En

wvitw

i

FKPA

CKA

GE

SN

7451

24

FNPA

CXA

GE

fTO

PVTC

WI U U

1 R

NG

1 EN

3 1

1 70

19

9

10 -

.1 1

? 13

> c

. u a

>- 2

Z Z

o

u

logí

c

e: i

o -

CKJ

tpLJ

t (t

«ju

en

cv m

hetu

CX '

exi

erna

l a M

e: t

añer

in

fac

ads

V\ti

ile

the

ínaf

ale

inpu

t u

low

. (h

e o

uto

ifl ii

ww

bltd

.W

Hiie

th

e en

able

in

pm u

hiq

h. i

he o

utp

u!

» h

tgh.

Thew

! 6enc«

can

opcfilt l

iom i

ung

le 5

-*o

ll su

pplv

H

owtv

e;

ooe

leí

of

iupp

lr-vo

lt»ge

lod

yound 0

'"!

(VC

C '

GN

Dl ii

pfO

TKÍ«

3 lo

* tí* e

n*bl

e, lY

rvrh

ion

iíju

on

-^a

rin

g,

and

oot

Doi

jec

tions

. and

j t

«MtJ

te s

el ©

Vcc

*f*

d "

GN

DJ

11 D

fO»i

oVd

fw I

fie o

icilU

lor

»nd

auoci

aiií

d f

reau

encv

-CD

nnol

CHC

UHS

io t

fial t

Hec

ttvf

iw

jlatio

n un

be

acav

np'iiU

ffd m

th<

lyitc

m.

The

enab

lt ir»

pot

of t

firue

dtv

ices

.-.iri

s or

iio

a*

Uie

out

put

puls

n w

hen

u u

low

ot

hto^

. m

pvc

tivriy.

Th«

mt»

mw

Oic

illato

i of

T

he "S

174

>í »l

»ned

arx

J iio

pped

by

the

eniU

í in

pot.

The

«r\*

ble

inpu

l B

ortt

«K

xiiíd

lo*d

; it

»nd

tn<

buffe

red

ouo>

Jt o

prr

ati

«I n

anda

rd S

cnottky

-cla

fnpíd

TT

L le

vcli.

The

pulw

ly

ncf

irD

fíiía

lioo-g

jlmg w

ciiu

n e

nsu

m t

hi'

ihe

fm

t O

UUXJ

l pul«

n o

eirr

m d

ippe

d n

o<

«x«n

d«cí

. O

uty

cyc'

e of tt»

iou»

re-w

»rf

outp

ut u

íurd

ai Jp

pro

unm

elv

50 o

eic

rn'

Th-

S

N&

ÍS12

4 ii

cha

racH

iiífO

IP

I op

efJO

on

ove

m-

íui:

ai.i-

tarv

rt

mpítj

turr

ogt

-55

C 1

0 125

.

SN

74S

I24

u ch

íirfC

rtfi/

efl

(or

rjperjuoi

fro

m O

'C l

o 7

0 C .

INST

RU

MEN

FS

Page 285: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

:s

Iso pfovíded which>ulputs low.

luncllonally andpp40l7BC and theup lo 10 low power. AII ínputs are pro-irge by díodes írom

ssen

O

o—A.•xl

s

oAO

NationalSemiconductor

MM54HC4020/MM74HC4020 14 Stage Binary CounterMM54HC4040/MM74HC4040 12 Stage Binary Counter

General DescriptionThe MM54HC4020/MM74HC4020 Is a 14 slage high speedripple csrry counler, and Ihe MM54HC4040/MM74HC4040is a 12 stage ripple carry counter. These counlers Incre-ment on Ihe hlgh to low Iransíllon (negalive edge) oí theclock inpul, and can be used lo implement long dividerchains, or hlgh speed prescaler ciccuils. A RESET inpul isprovided Ihal clears Ihe counler when this Inpul is hi0h.These círcuüs possess high noise immunity and iow powerconsumplion usually associaled wilh CMOS círcuits. yethave speeds comparable lo iow power Schotlky TTL. Bolhdevíces can drive up lo 10 LS-TTL loads (8 íor 54HC).

The MM54HC4020/MM74HC4020 ¡s lunclionally andpinoul equivalen! lo Ihe CD4020BM/CD4020BC andthe MC14020BA/MC14020BC, The MM54HC4040/MM74HC4040 is equivalen! lo Ihe CD4040BM/CD4040BCand Ihe MC14040BA/MC14040BC. AII inputs are prolecledfrom damage due lo slalic discharge by diodes to VQC ar)dground,

Connection Diagrams Logic Diagrams

1"

MM54HC4020/MM74HC4020

I I 10|J 04 O | 07 Oí 0] Oj O-D

MM54HC4040/MM74HC4040

51

Page 286: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

DcscriptionM;ixim'r. lamily ül lino drivors/rocoivors are inlendcc!!or ;ill riS-aua nnd V.2U/V.ÍM conimunicalionn inter-íneos nucí in p.-iriículnr. lor ihoso npplicniions v/hcre•1 iw'is nol ¡lííaíiíítífe. The MAX230, MAX23G. MAX2'10ano MAX211 nro parlicularly usaíul in ballery powerodsysienis üince iheir lov.- powqr shuldov/n modoitjtíuccs powcr díssipaiion lo léss (han 5^W. TheMAX233 ¡ind MAX235 uso no cxlornal coniponiinismuí nru rocoininandod (or ¡ipplicaíiüns wheru prinlodcircuil bo;tid spiícc is criticn!.

All miíinborñ oí iho liimily cxccpl tho MAX231 nndMAX239 iiíiud only ¡i siiujlü *5V supply lor opoi'cilion.Tliü RS-232 rfrivoiH/ruccivcrs havc on-board chnrgcI.MIMK/ w«íli¡«íiu coiwüMurü v/h¡ch conven Ihu iGV inpulpovAíf lu ll'w :':10V nccUtid lo yoncríilo IhO RS-232oulpul Ifrvtíls. Tho MAX231 and MAX239, designad loc-pfíiniii liuní >5V and +KÍV. conlain a +12V lo -12Vciuiaju puiMp vüll;igo convoricr.

iiiiuu: niüuiy all nS-I?3? applicaliuns nuce! bolh Une'i:. ;uul HH:u¡vurs. Ihu liunily inoludu:'. bolh rwcdlv-

ülof

. Stíloclionu! HS-232 ürívLT/roceivLM1 coinbinnlions in order lo

nizo Iho pnckago counl (see1 lable bolovv).Ihu rcceivors nnd ihc lir.e drivors (Iransrníltors)

t all lilA HS-232C and CCITT V.2Í1 spociíications.

Oporutua (rom Singla SV Powor Supply1 (+5Y ond -I-12V — MAX231 and 1AX239)

MüOlu All 115-2320 and V.20 Spuclflcnllonü

Múltiple-Drlvera íind Rccolvütü :

Onbotird DC-DC Convarjíira i.

¿9V Ouípul Swlna wiilH-GV Supply

Low pov/ar Sliuldown — <1^A'(lyp)

. 3-StnlfTTL/CMOS Rocclvor Outpuls

¿30V nccelvcr Inpul Lavóla

X

OU

ilnvi'i:. ;uul HH:u¡vurs. Ihu liunily inoludu:'. bolh rwcdi;ii jiiut diivurs in onu pnukagu. Thü wido vnriiMyHS-232 iipplio;iiiuns rüquirc dillcring numborsdiivíMs :incl recoivura. Mnxini olicrs a widc Stílocli

Computar»

Pcripheralíi

Modüms

Piinlurs

Instruments

Seleciion Tzibís

FluíPowoi Supph1 VoUngo

Ninuliüi

MAX:Í:JÜ -svUA-:;'.|i i¡iV (uní '7¡iV lu I3.2VMA\:'r(? -üvMAX;<Í:I -íivMA.<;'3-1 'OVMAXlTifj -5VM \'- .'l'ii '!-VMAX.'. i; - ' t V/.IA\:j:i!l ':>'JMA>.:';tí) -:.v ¡mu -yw io m:?vMAX'.-'.Kl »!]V

Í.:AX;MI -5V

No, oí

Drlvuiü

ÍJ• 22245

•1

5•!

. 3

'¡i •"

•i •".

No. oí

í) -2;>

2

0S3.1•1¡Í¡i

6

Exlciiuil l.owCoinponunln

•1 c;ip;icüors2 cnpaciiurit•1 cnpnuiloi:;Nono•1 c;i[jaciiuisNono•1 CJi|í,u.iUiiUl c.ipaciluiu

•¡ c;ip:iciloi:¡2 c;i|j;ic¡lüia'1 cnpnciluí:;

•I cnpnciloi:;

Pov^or ShulcJov/n/'ITL 3-ainlo

Yus/NúNo/NoMo/NuNo/NúMu/NoYcii/Yu:;Yo:i/Y{i:iNo/ Nú

,-. Mu/Noí Nü/Yua

Yiia/Yüa

Vis/Yus

Mo. oí Plus

2(11-1U)2(J162-1ÍM2-12'1IM-1-1

20

(Slllilll OtllllMO)

. Wn'". < Wl

"M>m\-'mliiígp;!¡i

¡ÜÍ.V5,

i

i.i iíü'ÜÜli!"l «¡rPÍNKa- if

'NIl'K-j¡ ¡fes:ííi

f.1aXlll¡ l

¡\ÍÍ;P'] í'(' «""í'í bíá

m[•• i¡T»iiV¡

WÍílI'I'H*?|i«^¡i,:!

if.M>'4

il tíüál

¿í

Page 287: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

o

-I- 5 y Po weréRS-232ABSOLUTE MÁXIMUM RATINGSv 4 ' ' , -0.3V lo i OV

ü.üv) u> ¡i'ivIÜ.3V 10 - M V

.T)N .................................. "0.3 10 (Vcc * Q.3V)

• MV

Slunl CUcuIl Durnllon y • ;Ttjuí ...-. .,.|r ¡ , i . CÜil l l lUiUUil

ljowm Olsíilpullou .Ci-noiP .'...'. ' .' 'o7ümW

(clarilla 9 GmW/uC nuevo t7i)°C) 'Pliiílljj DIP i'.'.t ::...'..;'. ! 37GiuV/' (ücr'nlu 7róW/-C ubuvu +70° C)' ' ' ' 'Snioll OulHno'(SO) . . . • . . . . ' . . ...'.M'.'..1.'. , 37SmW

{dóralo 7mW/íC iibovo i7üuCJ <'• f .Land Tijinpcrnlwu (Eüldoiiiifj 1Ü titiconüs) ;; ;.•.,.. í30Q'C

'' ' ' Slorntjo Tompurnimu .s._ -Gü'p lo •» IGO'C

Siíiiiii-í ,ii>in u iinií!! fiMuií iiii.Mi *>i (iiniuia .'.liifliiiiiiii Kíiíirif;j"í!iny Cíiiiíiipíiiiiiiiiirifil tlttnwjti lo (luí [fiu-íco. ííiu.su mu ¿(uuM/firmi.;* UII/A IUKÍ /iiíicíiuí¡;ií¡,,,,,,,,1 Oí ffiuifi>wi:i'"flf línuii ur mi)' tilín» ¿«ni/i rimú ítfiut'ü fíiasu (mí«:«f«(//u Dio f);)oní/i£i/ml3uo!/ü(i3 ut tho sjuicí/icntíoíia '] nu( iiniiliud, frfjosmu (o.-,:•;.innl» tiiri.inii.itii midió i:i»iilili£>ni /iií attüiittuil pc/iodi mu/ üííuct i/dv/cn jufmtiifíi/.•'• • " • .. • i- . |..

•• ' r,., ; - i • • - -iELI-CTRICAL CHARACTERISTICS - v •iMAxviw. V3.i. ¡MU. 237. :»:iu. a-io. í'ii VCR = sv :t 10%; MAx:;:i3.235 vr.n = üv ± ii%; KÍAX;!:U, wu vec. *• i>v.:i: 10%. v - 7.í,v i» 13.ÍV.1A Oi>in¡ilirio li'iiiiK-iiiuifo HIHKJÜ, Pititiinr. 3-M. uiilusa üllictwiBO iioiud.) .

roui"uui

-(V 1 0.3V) lo (V-0 .3V)

-0.3V LO IVCC -, 0.3VJ

l'AnA.V.CTKH

Ouiinii Voltiuju Swinij

• - . . " «•-."»<: '

V' í'.nvn' í'mpply CniMín!

:»iuiuU)wn Supply' Cunonl

• tn¡,u! l.iitjic Ihroshold l.ov/

1 ni] t- l'uliitp (;>iiinu

•• ' . :' -.' liipu: v,,l!.i.)ii OpmAimg Il»iu.ii!

i .'.i:1 l.i¡>.il lliior-hokl Lo-.v

i.:. .':V.' ln¡:ul li-.inr.hnld Hi(]h

l!'.. .'.!:' Influí Myr-litiOSiS

n*. .M;' inpui RniiisLiiir.ü

i' .. CwOS OiiijHii voliniii! LowI" U i'.MtV.', OiUpnl Vi)ltii|¡(| l-liyli

1 11 .r.MOS Oiitpui l.iiiiH.igo Ctinunl

l.'n'.jxi! t'iülilu lniui (1 HjuiU 2}

¡jn!| uj Ij.-.nlitf 1 uno (l:itjspni 2}

.I'>u,<ji.l¡iliuM Ui-i;iy

¡ fí-niMlmn unción lílüvv H.ilC

Mi'.i '.'.}¿ OutjJUl SüOM CnCuiI Cuneill

COND1TIONS • ' '

MI Trnnuniiiior Otilpuls !o¡uh:dviih 3KO. lo GrouncJ . .

No loml. TA - '¡'¡'."O "

MAX'JUI. MAXKI9

Nü lanü. 1 MAX331.-1AX23I nnü MAXa3U Oiily | MAXÜ39

:¡guro 1, TA = •'•25* C ' '

TKJ. EN. Shuldovm

TIM

gN. Sliuiilown

r,H ' ov

vcc = sv. TA * i;!^jc (MAXV:II. ayo v » uvj

vr.c = 5V. TA • .25J0 (WAX2:!1. 239 V * 12VJ

Vcc; = 5V , •

TA ' '25eC. V C C « S V

IOUT - I.CinA [MAX231-233. lout a a.2niAJ

luu, « -l.OmA

EN c VCR. OV < n(.(Ul < VC(.

MAX23S. MAX23Ü. MAXV3U, MAXMO. 241

MAX23S. MAX23G. MAX239. MAX3-1Q, 2-11

I1S-232 lü TTL

CL = lüpP. n, - 3-7KOTA = «25'C [Molo 1)

nL - 3xn. CL - 2fiüüpf:t.lüüsufcd (íOiu i3V lo -3Vor -3V lo *3V

.„....,. __,

Í.SIN.

i

l'O

a -i

-:JO

u. a

U2

3 ti

:ioti

• TYK'

19

¡i0..1

1.0

Ü

1!

1ÍÍ

1.2

1.7

0.5

5

ll.CJÜ

•100 •

2SO

0.5

:1 10

MAX.

10

1

5

15 ' •

10

o.n •

?íifj

i30

'¿A

1.0

7

O.-l

J-1Q

'!:£.

UN1TS

V

III A

ni A

;/A

V

V

;;A

V

V

V

V

xnvV

/JA

IW

.15

V//K

lilAí ínlc l: L*.;iui|iíu Ití iv

ÍI-V ._ .

TMJvi

«

>1 -Ü

Vf(MAX

1 'f;<J .2ÍÍ

^ÑJi

41.

^tlJ IHCÍ-[CA«!KI([Cllttlsilj

~T11!

Page 288: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

; UMIÍSi "

Í V

*SS-232]Dr/ OpúraSing Chctructorladc

TItAMSMITTL:R SLEW Í1ATGvt. LOAD CAPACITANCU

MAxaaoTnANSMrrrnn OUTPUT VOLTAGE TnAHSMirrcn OUTPUT VOLTAGÉva. V VOLTACE Va. Vcc VOLTAOE

o :oi icoi ' IÍÍB nuu wtc

llWOCAfíÜl*iiC£t>f]

iV SUI'I'LY VOLTAGGv.. LOAU CUIMENT

(UAX^Hí). 2.14;23Ü;240t 2-

,

>

oa

v . S U I ' l ' L Y VOLTACEVO.\LOAO CUMIÜ-NT

,!AX2Dp, ÍII-l-MÜ. 2-U). 24

CMAIIGG PUMI' OUTPUT IMI'UIMMCI;«. vcc ;(MAX230. 2J1-23II. '¿.10. 211) ¡

• ID -i.s -M • r'j .;« -,isy~ ouirui cuamxi ¡.»t¡

ÍÜLiíi.

B V O

cwv

X '" ns^rMil >

.1.•

Cn/Mt/H rosi C/ri;»//

!&$Ji! .vi i

/•"»/(« u i' lltifítitvúr UttltmS fanllild nuil Ui:nil>,,i Ititunn

Page 289: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

-jKW PcH'vered jRS-232 Driv&rs/Re&eivers

«M

t

N3'0N<3£— »»

i

1

Tíoin LTT l n u i C I12out £",,£nKlIr.Kii I *.Va IIni - IIv LT

CÍ-l«¡

' 20 lün

.i/iHii ;; .v-nr.v lyincni o

c* tic- LTv- a

u™ n:MU K

«mil I ti ? i % U

M

c-ClIc-OJv- IVQ

iw::i:r-R?n f'TS"

Wmitr.rii?,,r.nHcr_n

• Ü L

' ,.jn i : "•! x.Mí f i í ' - > •'' <

•M

Tj

^M^iXI^vi —

/.Í^.V2jO í1

"

id Snuill Oíso ¡ivíiilnhle

vtifiititig Ciicin

^H /I X I /-H

j\(.\.v?:jj

líniJ Plíislic

^Vl^ - IXI /VI

í.MA'23i

• i • : _ :

'•'"'• ^ 10• )jy 1 131 . ' n

Tr j ' ' " • * * ' • '•''•' SíTT1ny, .. , :• iw T — !1

3T5,» ' ' iD H C ' ' .] s i i u ioa \yH ( ""' :":T5CU[ : •a TAI, , - • »« — ¿JU, jJl V- • ' l 'L'CMus uJ v ' .ixnns I3"^¿)cz-3C2' Hl_ T.

• «x~1

í ' '!i ! ./¿''' '

•SV IKPU1 i

• ' . ' ' " ' '; - ' . ' .-!'

' ' 10»fe l ' VK ' ' »». . •

' I V l O M O V V* — ' • • • • -.Cl- • WllWl MUCllíl

C¡' nir /n- iuy ij _c¡, ; w iwi iNv in i in '" 1 ,

•IV ' j¿ IOV ' *

«QU1 ) ' •=• •

-sf" !x'tO " —*- n«i ;*t<«ni ' )

-i-.|^>ü í- IÍBÍ, ,

< W ' I I i, ...V -v

«IV x*"^«*»l{ . .., DUImn

T l^ ' ' " '\WM1< • i >i r¡N.0 f u r *

r^ ' 11i—— 3MUICQ(/S

1 . ' -•

J'

.( - t v i M i ' U ] .;:,•/ i n . i i a

ID v ; !m vcc ; • • u

ID riHn i ' *r•~ . ! Uii/sií ^

AÜ í l a u i «•'w ni,,•u ni,,»,II nw

DIP P'""_ . MUCHOS

IH_) v ' I N T U Í S]-( — ] v - ilf |™l(il(!li í -ÉUllouiiraní,* i

11 1 i n i m . i-fr=^ WI • . ni /c»os 'ÜU-J ' l iu ouinnsxa.vr, £

enci Smnll Ouílinoj

1/X ' í . t f lF ' l J L'l ' l ' l llf

f Vtc ] f

tf.

c- i n v i n . f r /voiiwt cmivin i iH: ' . v- ? ,• C7

T ' i 5 l w'IWUK i. t

Ü ' _ i-KNo-.—--!.1^ U- »'i•5V L^X-

nrm l ní':"> N. DUi ru r s1?" ' í |i>^. ' 17°»i *

nloiu nr^ííi Iil|r I0

^J < » H lish!. JL . iNrui j

JJÍTijj , _^ - B7iH 6: " ^JIT;,ii,in " •

ii

yryíynciyi/

Page 290: ESCUELA POLITÉCNIC NACIONAA L FACULTAD DE INGENIERÍA …bibdigital.epn.edu.ec/bitstream/15000/11197/1/T190.pdf · 2019-04-07 · transcripción o resume de la escenass . Las otras

£>

A

yA1

-cJ

-

cJ fc

i b ii

i Id

!¿ h

l b)

* A

^W T

T

r:••

t-

l U

£-

V

) £»

t-1

'

í*i S

Í íS

ía Í

5| ¡H

! ÍHÍ

J

73 O