Electronica UD01

28
Fundamentos de electrónica digital 1 y al finalizar esta unidad... Reconocerás los tipos de puertas lógicas y la función que desempeñan en un circuito. Distinguirás con precisión los valores de tensión y corriente en los distintos niveles lógicos. Consultarás e interpretarás correctamente la documentación técnica de las puertas lógicas. Sabrás representar la tabla de verdad correspondiente a un circuito con puertas lógicas. Expresarás correctamente las ecuaciones canónicas en forma de minterms y maxterms. Aplicarás correctamente las leyes del álgebra de Boole. Utilizarás con destreza los aparatos de medida adecuados. Analizarás las características más relevantes, la tipología y procedimientos de uso de los instrumentos de medida utilizados en electrónica digital y microprogramable. vamos a conocer... 1. Sistemas digitales y sistemas analógicos 2. Sistemas de numeración 3. Códigos binarios 4. Álgebra de Boole 5. Puertas lógicas 6. Circuitos realizados con puertas lógicas 7. Obtención de una función a partir de una tabla de verdad 8. Resolución de problemas con puertas lógicas 9. Simplificación de funciones. Método de Karnaugh 10. Circuitos integrados digitales: generalidades y tecnologías PRÁCTICA PROFESIONAL Montaje de un circuito con puertas lógicas y comprobación de la función simplificada MUNDO TÉCNICO La sonda lógica

Transcript of Electronica UD01

Page 1: Electronica UD01

6 Unidad 4

Fundamentos de electrónica digital1

y al finalizar esta unidad...

Reconocerás los tipos de puertas lógicas y la función que desempeñan en un circuito.

Distinguirás con precisión los valores detensión y corriente en los distintos niveleslógicos.

Consultarás e interpretarás correctamente ladocumentación técnica de las puertas lógicas.

Sabrás representar la tabla de verdadcorrespondiente a un circuito con puertaslógicas.

Expresarás correctamente las ecuacionescanónicas en forma de minterms y maxterms.

Aplicarás correctamente las leyes del álgebrade Boole.

Utilizarás con destreza los aparatos de medidaadecuados.

Analizarás las características más relevantes,la tipología y procedimientos de uso de los instrumentos de medida utilizados en electrónica digital y microprogramable.

vamos a conocer...

1. Sistemas digitales y sistemas analógicos

2. Sistemas de numeración

3. Códigos binarios

4. Álgebra de Boole

5. Puertas lógicas

6. Circuitos realizados con puertas lógicas

7. Obtención de una función a partir de unatabla de verdad

8. Resolución de problemas con puertaslógicas

9. Simplificación de funciones. Método de Karnaugh

10. Circuitos integrados digitales: generalidadesy tecnologías

PRÁCTICA PROFESIONALMontaje de un circuito con puertas lógicas y comprobación de la función simplificada

MUNDO TÉCNICOLa sonda lógica

01 electronica.qxd 11/5/09 11:44 Página 6

Page 2: Electronica UD01

Fundamentos de electrónica digital 7

situación de partida

Miguel es Técnico en Instalaciones Eléctricas y Automáticas (IEA) ylleva algún tiempo trabajando en la empresa donde comenzó su vidalaboral. Tras un periodo de prácticas en el que ayudaba a otros com-pañeros en los trabajos habituales, su jefe le ofreció un contrato paraquedarse en la empresa, y poco a poco va adquiriendo experienciaen los diferentes trabajos que le encomiendan. En cierta ocasión, a Miguel le encargan un trabajo en una empresacliente que consiste en la automatización de la apertura de la puer-ta de entrada a un garaje. Miguel se acerca a la empresa en cues-tión y le comentan que la puerta ya se encuentra motorizada, y sucierre se produce automáticamente al cabo de cierto tiempo. Lo quedesean es disponer de un control de apertura de la puerta, depen-diendo de que existan vehículos, para entrar o salir del garaje.Para que la puerta se abra y poder entrar, será necesario que elvehículo se encuentre situado encima de una plancha con un sen-sor «a» situado en el suelo, y además que el conductor introduz-ca una llave magnética en una ranura «b» dispuesta al efecto.Para salir, debe suceder lo mismo: el coche se situará encima dela plancha con el sensor «c» y se debe introducir la llave en laranura «d». Siempre que un vehículo haya comenzado el proce-so para entrar o salir una luz roja debe permanecer encendidapara avisar a otros conductores que algún vehículo ya está utili-zando la puerta. Por último, se colocará un sensor «e» al lado dela puerta, que avisará cuando algún vehículo se encuentre cru-zando justo por ella, para evitar su cierre y el consiguiente dete-rioro de la carrocería del vehículo, aun cuando haya pasado eltiempo estipulado para el cierre automático, y comience un nue-vo ciclo de apertura de puerta.

Miguel, una vez comprendidas las necesidades del cliente, comienzaa diseñar un circuito de control digital con operadores lógicos, basa-do en el sistema de numeración binario. En primer lugar, dibuja unatabla con filas y columnas (tabla de verdad), colocando los sensores(variables de entrada) en la primera fila, viendo todas las posibilidadesque existen a la hora de pulsar cada uno, confirmando a continuaciónsi debe o no funcionar el motor de la puerta en cada caso, y si debeencenderse la luz roja (variables de salida). Una vez anotadas en la tablatodas las posibilidades, tal y como aprendió al estudiar el ciclo de Ins-talaciones Eléctricas y Automáticas (IEA), extrae las funciónes corres-pondientes al motor y la luz roja según las variables de entrada. Segui-damente intenta simplificar la expresión de cada función, para que elresultado sea más sencillo. Para ello se basa en técnicas aprendidas,como el álgebra de Boole y los mapas de Karnaugh. Finalmente dibu-ja el circuito correspondiente a la función con puertas lógicas integra-das, obteniendo el esquema eléctrico que debe llevar a cabo.

En el taller de su empresa, Miguel monta el esquema obtenido, ali-mentando el circuito con la tensión requerida para los circuitos inte-grados (C.I.) digitales, simulando los sensores con interruptores y elmotor y la luz roja con diodos LED, comprobando que su diseñocorresponde a las necesidades del cliente. Una vez que está com-probado, realiza de manera definitiva el circuito de control corres-pondiente, y lo lleva al cliente para probarlo «in situ». Una vez mon-tado y realizados los ajustes necesarios en los distintos sensores, com-prueba que todo el trabajo previo de diseño y simulación en el tallerde su empresa ha dado sus frutos, pues el circuito funciona tal ycomo estaba pensado, con lo que termina el trabajo mostrando alcliente el funcionamiento del control solicitado.

estudio del casoAntes de empezar a leer esta unidad de trabajo, puedes contestar algunas de estas preguntas. Después, analiza cadapunto del tema, con el objetivo de contestar al resto de las preguntas de este caso práctico.

1. ¿Qué es un operador lógico?

2. ¿Para qué se utiliza un sensor?

3. ¿Qué es el sistema de numeración binario? ¿En qué se di-ferencia del decimal?

4. ¿Cuántos tipos de puertas lógicas existen?

5. ¿Qué es el álgebra de Boole? ¿Dónde se usa?

6. ¿Qué es una tabla de verdad de una función lógica y paraqué se utiliza?

7. ¿Cómo se simplifican funciones lógicas? ¿Qué es unmapa de Karnaugh?

8. ¿Qué circuito integrado (C.I.) se utiliza para realizar esque-mas de circuitos digitales?

9. ¿Qué características tienen las puertas lógicas integradas?¿Qué son las familias lógicas?

10. ¿Qué valor de tensión se necesita para trabajar conC.I. digitales compuestos de puertas lógicas?

CASO PRÁCTICO INICIAL

Documento de apoyo

En www.editex.es, en la ficha del libro, puedes consultar hojas de datos de componentes electrónicos y los recursos de la unidad .

01 electronica.qxd 11/5/09 11:44 Página 7

Page 3: Electronica UD01

8 Unidad 1

Sistema de numeraciónEs un conjunto de símbolos que,junto a unas reglas, permiten cons-truir todos los números válidos en elsistema. Las reglas son diferentespara cada sistema de numeración,pero una regla común a todos esque solo se utilizan los símbolos per-mitidos (en binario el «0» y el «1»).La identificación del sistema denumeración se hace mediante unsubíndice a la derecha, con el núme-ro total de símbolos que tiene el sis-tema, por ejemplo: 57(16) es unnúmero en base hexadecimal, peroel número A1K3(16) no lo es, pues elsímbolo K no es válido en base 16.

vocabulario

1. Sistemas digitales y sistemasanalógicos

En nuestra vida diaria, estamos rodeados de equipos electrónicos. Todos ellos fun-cionan con señales eléctricas, ya sean analógicas o digitales. Hace años, cuandotodavía no se había creado el transistor (un componente fundamental para la re-volución tecnológica), la electrónica no se dividía en estos dos grandes grupos; fueprecisamente la invención del transistor, su fabricación de manera extensiva y sucapacidad de integración, la que revolucionó y modernizó todos los equipos elec-trónicos de entonces, hasta llegar a los actuales, comenzando a considerar losequipos digitales y su electrónica asociada con entidad suficiente como para po-der separar la electrónica en dos partes bien diferenciadas: la electrónica analó-gica y la electrónica digital.

Aunque cada una de ellas tiene entidad por sí misma, no son excluyentes entresí, complementándose a la hora de diseñar bloques funcionales de los que estánformados muchos de los equipos actuales. Para diferenciar de una forma sencillacada una de las dos partes en que hemos dividido a la Electrónica, observaremosuna señal analógica y otra digital, ya que en electrónica, saber interpretar señaleses la base del aprendizaje.

a Figura 1.1. Señal analógica. a Figura 1.2. Señal digital.

A la vista de las dos figuras, se puede apreciar cómo la señal de la figura 1.1puede tomar infinitos valores (señal analógica), mientras que la señal de la fi-gura 1.2 solo toma dos valores distintos (señal digital). Pues bien, existen enel mercado componentes electrónicos que funcionan únicamente con dos va-lores distintos de señal. Estos componentes son denominados circuitos digita-les integrados. Los valores de señal son denominados «0» y «1», comenzandoa partir de este momento a trabajar con el sistema de numeración binario, ba-sado exclusivamente en unos y ceros. Al campo de la electrónica que estudiadichos circuitos digitales integrados se le denomina Electrónica Digital, quela diferencia así del resto de componentes electrónicos que se estudian en laElectrónica Analógica.

2. Sistemas de numeraciónPara poder contar, a lo largo de la historia las diferentes civilizaciones se hanservido de piedras, marcas, nudos o extremidades del cuerpo. El sistema denumeración que utilizamos actualmente fue inventado por los indios y traí-do a Europa por los árabes; es el denominado Sistema de Numeración De-cimal.

01 electronica.qxd 11/5/09 11:44 Página 8

Page 4: Electronica UD01

2.1. Sistema decimal

Está basado en la utilización de 10 dígitos distintos: 0, 1, 2, 3, 4, 5, 6, 7, 8 y 9. Parapoder expresar cantidades superiores se realizan combinaciones con estos dígitos,utilizando lo que se denominan decenas, centenas, etc. Este sistema de numera-ción es también conocido como base 10.

Si hablamos del número 457, por ejemplo, es fácilmente deducible que 457 = 400+ 50 + 7. O dicho de otra forma: 457 = 4 · 102 + 5 · 101 + 7 · 100.

Esta segunda forma de expresar el número 457 nos indica que cada dígito (4, 5,7) está multiplicado por la base (10) elevada al exponente cuyo valor representala posición de cada cifra (comenzando por la posición 0).

2.2. Sistema binario

Este sistema se basa en la utilización de dos dígitos distintos: 0 y 1. La lógica di-gital está basada precisamente en estos dos dígitos binarios, denominados bit (bi-nary digit).

Al igual que el sistema de numeración decimal es conocido como base 10, al sis-tema binario se le denomina base 2. Cualquier número expresado en binario cons-tará exclusivamente de estos 2 dígitos, combinándolos en el orden adecuado.

2.3. Sistema hexadecimal

En este caso se usan 16 dígitos distintos: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E yF (base 16). Se utiliza muchísimo para trabajar con números binarios excesiva-mente largos. Lo veremos más adelante.

2.4. Otros sistemas

Existen otros muchos sistemas de numeración, pero consideraremos los tres ante-riores como los más interesantes a la hora de trabajar en electrónica digital. Has-ta hace pocos años, también se usaba el sistema de numeración octal (base 8),pero actualmente está obsoleto; se puede trabajar en cualquier base (3, 5, 7, etc.),pero no son relevantes para el tema que nos ocupa.

2.5. Cambios de base

Hasta ahora distinguimos bien entre los sistemas de numeración principales pero,¿cómo expresamos un número decimal en binario? ¿Se puede expresar cualquier nú-mero hexadecimal en su equivalente decimal? ¿Qué relación existe entre los distin-tos sistemas de numeración? En los siguientes apartados iremos dando respuesta a to-das estas preguntas, ya que es básico su conocimiento para trabajar en la lógica digital.

Convertir un número de cualquier base a base 10 (decimal)

Como habitualmente manejamos el sistema decimal, es muy conveniente cono-cer el procedimiento para transformar cualquier número representado en otra basecualquiera a base diez. Para realizarlo hay que multiplicar cada dígito por el nú-mero que representa a la base, elevada al exponente cuyo valor representa la po-sición de cada cifra, comenzando por la posición de valor más bajo (derecha), adicha posición se le asigna el exponente «0».

Fundamentos de electrónica digital 9

Los sistemas de numeración pue-den clasificarse en dos grandes gru-pos: posicionales y no-posicionales.

En los sistemas de numeraciónponderados o posicionales el valorde un dígito depende a la vez, delsímbolo utilizado y de la posiciónque ocupe en el número (colum-na). Sin embargo, en los sistemasno-posicionales los dígitos tienen elvalor del símbolo utilizado, nodependiendo de la posición queocupan en el número.

saber más

Hay otros muchos sistemas denumeración como los sistemasvigesimales (de base 20, dedos demanos y pies) que fueron muycorrientes en la Antigüedad, y toda-vía perviven en idiomas como elfrancés (donde 80 se llama quatre-vingts). Los sistemas ternarios(base 3), fueron empleados por tri-bus que usaban las tres articulacio-nes de las falanges de los dedospara contar. Otras, empleaban loshuecos que hay entre los dedos dela mano, trabajando en base 4.

Pero los sistemas de numeraciónque alcanzaron mayor difusión fue-ron los quinarios, que empleabanel 5 como base. Una posible expli-cación es la facilidad para contarcon los 5 dedos de la mano.

saber más

01 electronica.qxd 11/5/09 11:44 Página 9

Page 5: Electronica UD01

10 Unidad 1

Pasar un número de base 10 a cualquier base

La conversión inversa a la anterior, tiene un procedimiento muy diferente. Setoma el número en base 10 como dividendo, y la base a la que se quiere pasarcomo divisor, y se realizan divisiones sucesivas hasta que el dividendo sea menorque el divisor. Llegados a este punto, se toma el último cociente y los restos quese han ido sucediendo en las divisiones anteriores para formar el número en cues-tión. El primer resto es el primer bit, que se corresponde con el de menor peso (úl-timo dígito a la derecha).

2.6. Tabla resumen entre base 10, base 2 y base 16

La tabla adjunta es muy útil, pues relaciona los números del 0 al 15 de las tres ba-ses con las que estamos trabajando hasta ahora. Se realiza con las dieciséis com-binaciones básicas del binario, cuya utilización es muy frecuente, por lo que se re-comienda su estudio y memorización.

Convierte el número 75 de base decimal a base binario.

Solución:

EJEMPLO

Convierte el número 1001011 de base binaria a base decimal.

Solución:

1001011(base 2) = 1 · 26 + 0 · 25 + 0 · 24 + 1 · 23 + 0 · 22 + 1 · 21 + 1 · 20 =

= 64 + 8 + 2 + 1 = 75(base 10)

EJEMPLOrecuerdaDado un número en cualquierbase, el dígito de la derecha es elde menor peso, y el de la izquierdaes el de mayor peso, al igual quesucede con las unidades, decenas,centenas, etc., en el sistema denumeración decimal.

Para pasar de una base cualquiera(por ejemplo base 3) a otra base(por ejemplo base 7), hay que pasarnecesariamente por el paso inter-medio de la base 10, es decir, pasarde base 3 a base 10, y el resultadoen base 10 pasarlo a base 7.

saber más

1. Convierte los siguientes números decimales a binario: a) 12; b) 25; c) 47.

2. Convierte los siguientes números binarios a base 10 (o base decimal): a) 10111; b) 1100110; c) 101101.

3. Convierte los siguientes números decimales a base 16 (base hexadecimal): a) 125; b) 438; c) 625.

4. Convierte los siguientes números hexadecimales a base 10: a) 1F3; b) A3C; c) 34B.

ACTIVIDADES

15

75

3717 18

94

2

11

1

1

0

00

2

22

22

2

Bit demenor peso

75(10) = 1001011(2)

Base 10 Base 2 Base 16

0 0000 0

1 0001 1

2 0010 2

3 0011 3

4 0100 4

5 0101 5

6 0110 6

7 0111 7

8 1000 8

9 1001 9

10 1010 A

11 1011 B

12 1100 C

13 1101 D

14 1110 E

15 1111 F

01 electronica.qxd 11/5/09 11:44 Página 10

Page 6: Electronica UD01

Fundamentos de electrónica digital 11

3. Códigos binariosUn código binario es la representación en «1» y «0» de cualquier número deci-mal. Hasta ahora solo conocemos el binario natural, pero existen otros muchoscódigos, destacando los que se comentan a continuación.

3.1. Código Gray

Este código es típico de los mapas de Karnaugh que veremos más adelante. Parareproducirlo se sigue el método denominado de «espejo», que consiste en ir refle-jando las diferentes combinaciones binarias cada: 2, 4, 8, 16… posiciones (2n), apartir de una línea horizontal, como si fuera un espejo. Una vez que se colocanlas primeras combinaciones, se repiten por debajo de la línea («espejo»), pero in-vertidas en su posición vertical. Para entender mejor el desarrollo vamos a comen-zar con el código Gray de dos dígitos, colocando los dos dígitos binarios uno de-bajo del otro, luego se coloca una línea horizontal y se reflejan, quedando ahorael «1» encima del «0», para completar el código se pone un «0» a la izquierda delos dos primeros dígitos y un «1» en los otros dos reflejados, resultando las cuatrocombinaciones mostradas en la tabla adjunta.

Es muy importante resaltar que este código tiene la particularidad de ser con-tinuo (de un número al siguiente, su codificación solo difiere en un bit), conadyacencia cíclica, y ser un código reflejado, por eso se construye reflejandolas combinaciones existentes, pudiendo construir el código hasta el númeroque se desee.

Si desarrollamos el código Gray de tres dígitos, mostrado en la tabla adjunta, pri-mero se colocan las cuatro primeras combinaciones que hemos obtenido ante-riormente para dos dígitos y se reflejan por debajo de la línea «espejo», comple-tando como en el caso anterior con un «0» en las cuatro combinaciones superioresy con un «1» en las inferiores.

3.2. Códigos BCD

Del inglés «Binary Code Decimal», son códigos binarios que únicamente tie-nen 10 combinaciones, desde el 0 al 9, para poder representar cualquier cifradecimal de una manera más cómoda, sustituyendo cada cifra decimal por lacorrespondiente al código BCD en cuestión. Existen ponderados y no ponde-rados.

CÓDIGO GRAY DE 3 DÍGITOS

Decimal Gray

0

1

2

3

4

5

5

7

0 00

0 01

0 11

0 10

1 10

1 11

1 01

1 00

CÓDIGO GRAY DE 2 DÍGITOS

Decimal Gray

0

1

2

3

0 0

0 1

1 1

1 0

Español-InglésAlfanumérico: alphanumeric.

Álgebra de Boole: boolean algebra.

Circuito integrado (C.I.): integratedcircuit (I.C.).

Circuito integrado digital (C.I.D.):digital integrated circuit (D.I.C.).

Código: code.

Código Gray: Gray code.

Conmutador: switch.

Decimal codificado en binario:binary coded decimal (BCD).

Lógica positiva: positive logic.

Nivel lógico: logic level.

Número binario: binary number.

Puerta lógica: logic gate.

Salida digital: digital output.

Símbolo: symbol.

Sonda lógica: logic probe.

Tabla de verdad: truth table.

vocabulario

01 electronica.qxd 11/5/09 11:44 Página 11

Page 7: Electronica UD01

12 Unidad 1

a) Ponderados

Son códigos con peso (la posición tiene un valor). Cada bit tiene un peso cons-tante debido al lugar que ocupa. El número decimal que representa se obtiene delas sumas de los productos de cada bit por su peso. Ejemplos de códigos BCD pon-derados son el BCD natural y el BCD AIKEN.

b) No ponderados

Son códigos cuyos bits no tienen un peso constante con el que poder obtener el va-lor final del dígito decimal que representa. Un ejemplo típico es el BCD exceso-3.

DECIMAL

PONDERADOS NO PONDERADO

BCD natural BCD AIKEN BCDexceso-38 4 2 1 2 4 2 1

0 0 0 0 0 0 0 0 0 00111 0 0 0 1 0 0 0 1 01002 0 0 1 0 0 0 1 0 01013 0 0 1 1 0 0 1 1 01104 0 1 0 0 0 1 0 0 01115 0 1 0 1 1 0 1 1 10006 0 1 1 0 1 1 0 0 10017 0 1 1 1 1 1 0 1 10108 1 0 0 0 1 1 1 0 10119 1 0 0 1 1 1 1 1 1100

5. Representa los números 285 y 394, en BCD natural, BCD AIKEN y BCD exceso-3.

6. Representa el número 13 en código Gray.

7. ¿Cómo se puede escribir el símbolo @ en el ordenador si el teclado no está bien configurado, ayudándonosdel código ASCII? NOTA: consulta el código ASCII dentro de los recursos proporcionados para la unidad enwww.editex.es.

ACTIVIDADES

Rellena la siguiente tabla expresando los diferentes números decimalesen código BCD natural, BCD AIKEN y BCD exceso-3.

Solución:

EJEMPLO

Decimal BCD natural BCD Aiken BCD exceso-3

10 0001, 0000 0001, 0000 0100, 0011

25 0010, 0101 0010, 1011 0101, 1000

47 0100, 0111 0100, 1101 0111, 1010

58 0101, 1000 1011, 1110 1000, 1011

476 0100, 0111, 0110 0100, 1101, 1100 0111, 1010, 1001

No es lo mismo binario que BCDnatural. Hay que tener cuidado endistinguirlos: el número 17, repre-sentado en binario es 10001, mien-tras que representado en BCDnatural es: 0001 0111.

saber más

Nota: se ha puesto una coma entre cada dígito para mejorar su comprensión.

01 electronica.qxd 11/5/09 11:44 Página 12

Page 8: Electronica UD01

3.3. Códigos alfanuméricos

El código alfanumérico más conocido es el código ASCII (American StandardCode for Information Interchange) es el utilizado para representar todos losnúmeros y letras del alfabeto, así como símbolos, caracteres especiales y órde-nes de control para periféricos en los PC. Existe el código ASCII de 7 bits (27

= 128 combinaciones), y el código ASCII extendido de 8 bits (28 = 256 com-binaciones).

Los códigos ASCII extendidos, del 128 al 255, se asignan a conjuntos de carac-teres que varían según los fabricantes de ordenadores y programadores de softwa-re. Estos códigos no son intercambiables entre los diferentes programas y ordena-dores como los caracteres ASCII estándar. Por ejemplo, IBM utiliza un grupo decaracteres ASCII extendido que suele denominarse conjunto de caracteres IBMextendido para sus ordenadores personales. Apple Computer utiliza un grupo si-milar, aunque diferente, de caracteres ASCII extendido para su línea de ordena-dores Macintosh. Por ello, mientras que el conjunto de caracteres ASCII están-dar es universal en el hardware y el software de los microordenadores, los caracteresASCII extendido pueden interpretarse correctamente solo si un programa, orde-nador o impresora han sido diseñados para ello.

4. Álgebra de BooleSe denomina así en honor al matemático británico del siglo XIX George Boole(1815-1864), que definió las operaciones que se podían realizar con la lógica com-binacional, y los teoremas resultantes, todos realizados a partir del valor lógico«1» y el valor lógico «0» que pueden tomar las entradas y salidas.

Definición y postulados del álgebra de Boole

Boole definió la operación suma lógica «+» (no confundir con suma aritmética)y producto lógico «·», y las propiedades que cumplían sus elementos.

Propiedad conmutativa

Aplicable a la operación suma lógica y producto lógico, se resume en estas dosigualdades: a + b = b + a; a · b = b · a

Propiedad asociativa

Respecto de las dos operaciones suma y producto:

a + (b + c) = (a + b) + c; a · (b · c) = (a · b) · c

Elemento neutro

Respecto de la suma, el elemento neutro es el «0» : a + 0 = a

Respecto del producto, el elemento neutro es el «1»: a · 1 = a

Propiedad distributiva

Respecto de las dos operaciones suma y producto:

a · (b + c) = a · b + a · c; a + (b · c) = (a + b) · (a + c)

Fundamentos de electrónica digital 13

En los ordenadores personales esmuy útil disponer de una tabla conlos códigos ASCII correspondientes,por si el teclado no está bien confi-gurado para nuestro idioma, y algúnsímbolo especial no se muestracorrectamente. En ese caso, se acce-de al código ASCII pulsando la tecla<Alt> del teclado más el número delsímbolo que queramos mostrar (uti-lizando el teclado numérico).

saber más

En la actualidad, el álgebra de Boo-le se usa de forma generalizada enel diseño de circuitos electrónicosdigitales. El primer científico queaplicó el álgebra de Boole en eldiseño de circuitos de conmutacióneléctrica biestables, fue ClaudeShannon en 1938.

caso práctico inicial

En www.editex.es se facilita el códi-go ASCII (0-127) en el archivo:«Codigos Alfanumericos-ASCII.pdf»,dentro de los recursos de la unidad.

recuerda

01 electronica.qxd 11/5/09 11:44 Página 13

Page 9: Electronica UD01

Elemento simétrico o complementario

El elemento simétrico de una variable se representa con un segmento encima dela letra y se cumple que: a + a– = 1, y a · a– = 0

Estas propiedades se pueden demostrar de manera práctica con interruptores, de-finiendo la operación suma como interruptores en paralelo, y la operación produc-to como interruptores en serie.

a) Conmutativa

a Figura 1.3. Propiedad conmutativa respecto de la suma: a + b = b + a

a Figura 1.4. Propiedad conmutativa respecto del producto: a · b = b · a

b) Elemento neutro

El «1» equivale al interruptor cerrado, y el «0» al interruptor abierto.

a Figura 1.5. Elemento neutro: a + 0 = a, a · 1 = a

c) Distributiva

a Figura 1.6. Propiedad distributiva respecto de la suma: a · (b + c) = a · b + a · c

a

a

ab b

c c

a

a

“0”

“1”

a b ab

a

b a

b

14 Unidad 1

01 electronica.qxd 11/5/09 11:44 Página 14

Page 10: Electronica UD01

a Figura 1.7. Propiedad distributiva del producto: a + (b · c) = (a + b) · (a + c)

d) Elemento complementario

Dado un interruptor, si este se encuentra cerrado, el complementario está abier-to, y viceversa.

a Figura 1.8. Elemento complementario: a + a– = 1, a · a– = 0

Teoremas del álgebra de Boole

A partir de las propiedades o postulados descritos, se deducen distintos teoremas, quejunto a las igualdades anteriores, pueden quedar resumidos en la siguiente tabla:

Todas estas expresiones se comienzan a realizar no con interruptores, sino conoperadores lógicos denominados puertas lógicas. Las expresiones realizadas conpuertas lógicas guardan una correspondencia directa con un cuadro denominadotabla de verdad, donde se representan con valores «0» y «1» todas las combina-ciones posibles de entrada y su correspondiente valor en la salida.

a

a

a

a

a

b c b

a

solidarios

c

a

Fundamentos de electrónica digital 15

PROPIEDADES, POSTULADOS Y TEOREMAS MÁS IMPORTANTES DEL ÁLGEBRA DE BOOLE

Postuladosa + 0 = a a + 1 = 1 a + a = a a + a– = 1

a · 1 = a a · 0 = 0 a · a = a a · a– = 0

Ley de absorción a + (a · b) = a a · (a + b) = a

Propiedad conmutativa a + b = b + a a · b = b · a

Propiedad asociativa a + (b + c) = (a + b) + c a · (b · c) = (a · b) · c

Propiedad distributivaa + (b · c) = (a + b) · (a + c) a · (b + c) = (a · b) + (a · c)

a + a– · b = a + b a · (a– + b) = a · b

Doble complemento(ley de involución)

El doble complemento de una variable nos da esa misma variable: a––

= a

Ley de dualidadDada cualquier expresión, se obtiene la expresión dual simplemente cambiando el símboloproducto por el de suma lógica y los «0» por «1»,y viceversa.

Leyes de De Morgan a–

+ b = a– · b–

a–

· b = a– + b–

01 electronica.qxd 11/5/09 11:44 Página 15

Page 11: Electronica UD01

16 Unidad 1

a b s = a + b

0011

0101

0111

a b s = a · b001

1

0101

0001

a b s = a–

+ b

0011

0101

1000

En la tabla de verdad de una fun-ción lógica se representan todas lascombinaciones posibles de las varia-bles de entrada, en binario natural,y el resultado de operar la funciónlógica para cada combinación.

caso práctico inicial

5. Puertas lógicasSon operadores lógicos que nos permiten realizar las operaciones del álgebra deBoole. Se encuentran dentro de circuitos integrados denominados SSI (Short Sca-le Integration), llamados así porque integran dentro hasta 10 puertas lógicas. Laspuertas lógicas básicas son:

a) Puerta inversora. Puerta NOT (operación NO)

a Figura 1.9. Tabla de verdad y símbolo del inversor o puerta NOT.

b) Puerta sumadora. Puerta OR (operación O)

a Figura 1.10. Tabla de verdad y símbolo de la puerta OR.

c) Puerta multiplicadora. Puerta AND (operación Y)

a Figura 1.11. Tabla de verdad y símbolo de la puerta AND.

d) Puerta NOR (operación NO-O)

a Figura 1.12. Tabla de verdad y símbolo de la puerta NOR.

Símbolo CEI o DIN Símbolo ANSI o MIL

a

bs = a + b

a

b

≥1 s = a + b

Símbolo CEI o DIN Símbolo ANSI o MIL

b

a

&a

s = a ⋅ b s = a ⋅ bb

Símbolo CEI o DIN Símbolo ANSI o MIL

a

bs = a + b s = a + b

a

b

≥1

Símbolo CEI o DIN Símbolo ANSI o MIL

a aa a1

a s = a–

01

10

01 electronica.qxd 11/5/09 11:44 Página 16

Page 12: Electronica UD01

e) Puerta NAND (operación NO-Y)

a Figura 1.13. Tabla de verdad y símbolo de la puerta NAND.

f) Puerta OR-exclusiva (EXOR o XOR)

a Figura 1.14. Tabla de verdad y símbolo de la puerta OR-exclusiva.

Seguidamente se presentan algunos de los integrados que disponen de estas puer-tas, así como su patillaje, en tecnología TTL (familia 74XX) y en tecnologíaCMOS (familia 40XX).

a Figura 1.15. C.I. CMOS y TTL.

En www.editex.es, en la ficha del libro, se facilitan las hojas de fabricante de to-dos estos circuitos integrados digitales.

Símbolo CEI o DIN Símbolo ANSI o MIL

a

bs = a ⊕ b

a

b

=1 s = a ⊕ b

Símbolo CEI o DIN Símbolo ANSI o MIL

b

a

&a

s = a ⋅ b s = a ⋅ bb

CMOS: 4001 NOR CMOS: 4011 NAND CMOS: 4069 NOT CMOS: 4070 EXOR

VDD

14 13 12 11 10 9 8

1 2 3 4 5 6 7VSS

VDD

14 13 12 11 10 9 8

1 2 3 4 5 6 7VSS

VDD

14 13 12 11 10 9 8

1 2 3 4 5 6 7VSS

VDD

14 13 12 11 10 9 8

1 2 3 4 5 6 7VSS

CMOS: 4071 OR CMOS: 4081 AND TTL: 7400 NAND TTL: 7402 NOR

VDD

14 13 12 11 10 9 8

1 2 3 4 5 6 7VSS

VDD

14 13 12 11 10 9 8

1 2 3 4 5 6 7VSS

VCC

14 13 12 11 10 9 8

1 2 3 4 5 6 7GND

VCC

14 13 12 11 10 9 8

1 2 3 4 5 6 7GND

TTL: 7404 NOT TTL: 7408 AND TTL: 7432 OR TTL: 7486 EXOR

VCC

14 13 12 11 10 9 8

1 2 3 4 5 6 7GND

VCC

14 13 12 11 10 9 8

1 2 3 4 5 6 7GND

VCC

14 13 12 11 10 9 8

1 2 3 4 5 6 7GND

VCC

14 13 12 11 10 9 8

1 2 3 4 5 6 7GND

Fundamentos de electrónica digital 17

a b s = a–

· b

0011

0101

1110

a b s = a ⊕ b

0011

0101

0110

01 electronica.qxd 11/5/09 11:44 Página 17

Page 13: Electronica UD01

6. Circuitos realizados con puertaslógicas

Una expresión del álgebra de Boole, por ejemplo: f = a · b + a– · c, se puede imple-mentar mediante puertas lógicas. Sólo hay que dibujar el esquema que correspon-de a la expresión dada, y montarlo en una placa de pruebas con los integrados co-rrespondientes (figura 1.16).

De igual forma, se puede obtener la expresión de la función que corresponde a uncircuito, a partir de su esquema (figura 1.17).

A veces, para simplificar los dibujos, los esquemas se reducen:

a Figura 1.18. Esquemas equivalentes.

a a · b

b

a

b

a · b

18 Unidad 1

a b c

S

a

a · b

f = a · b + a · c

b c

a · c

8. Construye mediante puertas lógicas el circuito correspondiente a las siguientes funciones, realizando su ta-bla de verdad: a) F1 = a + b

–+ a– · b. b) F1 = (a · b · c– + a– · c) · d.

9. Realiza con puertas lógicas la siguiente función, realizando previamente su tabla de verdad:

f = a · b + a · c– + a– · b.

10. Comprueba las leyes de De Morgan con las puertas lógicas necesarias.

11. Monta en el entrenador del aula taller los circuitos integrados 7400, 7402, 7404, 7408, 7432, 7486, y com-prueba sus tablas de verdad.

ACTIVIDADES

a Figura 1.16. Circuito con puertas lógicas. a Figura 1.17. Función de salida f = a– · b–

+ b · c

01 electronica.qxd 11/5/09 11:44 Página 18

Page 14: Electronica UD01

7. Obtención de una función a partirde una tabla de verdad

Una tabla de verdad, tal y como hemos visto al hablar de las puertas lógicas, esuna representación de una función de un álgebra de Boole donde se indican to-das las posibles combinaciones de las variables de entrada y los valores que adop-ta la función para cada una de esas combinaciones; veamos un ejemplo:

Para obtener la función de salida correspondiente a la tabla de la derecha, pode-mos hacerlo de dos formas: eligiendo los «1» o los «0» de la salida.

a) Si nos fijamos en los «1», la función será la suma de las combinaciones de lasvariables de entrada en donde la función valga «1». Cada uno de estos suman-dos será el producto de las variables correspondientes a la combinación, asig-nando al valor «1» la variable directa y al valor «0» la variable negada:

fmín = a– · b · c– + a · b–

· c– + a · b · c–

A esta forma de representar la función f se la conoce como suma de productoso minitérminos.

b) Si nos fijamos en los «0», la función será el producto de todas las combinacio-nes donde la función valga «0». Cada uno de estos productos será la suma delas correspondientes variables de entrada asignando al valor «0» la variable di-recta y al valor «1» la variable negada:

fmax = (a + b + c) · (a + b + c–) · (a + b–

+ c–) · (a– + b + c–) · (a– + b–

+ c–)

A esta forma de representar la función f se la conoce como productos de su-mas o maxitérminos.

Según esta doble propuesta, al montar el circuito contamos con dos expresionesdistintas que darán lugar a dos circuitos digitales diferentes, que siempre coinci-dirán con una única tabla de verdad, por lo tanto, cualquiera de las dos solucio-nes es válida. A la primera expresión, que se basa en la observación de los «1» enla salida, se le denomina función en forma de minitérminos o minterms, y a la se-gunda, maxitérminos o maxterms. A estas dos formas de obtener la función a par-tir de una tabla de verdad, se les denomina formas canónicas de la función.

Fundamentos de electrónica digital 19

12. Extrae la función f1 correspondiente a la siguiente tabla de verdad, expresandosus formas canónicas de minitérminos y maxitérminos.

13. Extrae la función f2 correspondiente a la siguiente tabla de verdad. Compara lasformas canónicas obtenidas con las sacadas en la actividad anterior.

14. A partir de la forma canónica de minterms: fmin = a · b · c– + a · b–

· c + a– · b–

· c–,obtén la tabla de verdad de la función.

ACTIVIDADESa b c f1 f2

00001111

00110011

01010101

00010101

11101010

Las formas canónicas de minitérmi-nos se pueden expresar con el sig-no matemático de sumatorio, indi-cando en su parte inferior el nº devariables y entre paréntesis, el valordecimal de las combinaciones quedan un «1» en la salida. Por ejem-plo, para la tabla de verdad ante-rior: f = (2, 4, 6)

3

saber más

TABLA DE VERDAD DE f

Variablesde entrada

Varia-blede

salida

Expre-sión desalida

a b c f(salida) f(salida)

0 0 0 0 a + b + c

0 0 1 0 a + b + c–

0 1 0 1 a– · b · c–

0 1 1 0 a + b–

+ c–

1 0 0 1 a · b–

· c–

1 0 1 0 a– + b + c–

1 1 0 1 a · b · c–

1 1 1 0 a– + b–

+ c–

01 electronica.qxd 11/5/09 11:44 Página 19

Page 15: Electronica UD01

8. Resolución de problemas con puertas lógicas

Una de las aplicaciones más comunes de la utilización de la lógica combinacio-nal es en la resolución de problemas de diversa naturaleza. A partir de un enun-ciado, se obtiene la tabla de verdad, colocando un «1» en la salida para las con-diciones en donde se cumple lo solicitado, posteriormente se sacan las formascanónicas y finalmente se implementa con C.I. digitales, utilizando tecnologíaCMOS o TTL. Veamos un ejemplo de funcionamiento de un motor con tres in-terruptores:

20 Unidad 1

Se desea controlar el funcionamiento de un motor por medio de tres inte-rruptores, a, b y c, de manera que el motor funcione cuando se activen dospulsadores a la vez, sean los que sean, y también cuando se activen los tresa la vez.

Solución:

Lo primero que hay que hacer es construir la tabla de verdad, y colocar un «1»en la salida correspondiente a las combinaciones en donde se cumpla lo quepide el problema:

f = a– · b · c + a · b–

· c + a · b · c– + a · b · c,

en minitérminos. O bien,

f = (a + b + c) · (a + b + c–) · (a · b–

+ c)

(a– + b + c), en maxitérminos

EJEMPLO

a b c f(salida)

00001111

00110011

01010101

00010111

15. Se desea controlar un motor «M» y una lámpara «L», por medio de los contactos de tres interruptores a, by c, de forma que se cumplan las siguientes condiciones:

a) Si a está pulsado y los otros dos no, se activa M, quedando la lámpara apagada.

b) Si dos interruptores están pulsados sean los que sean, funcionan M y L.

c) Si los tres interruptores están pulsados, no funciona M ni tampoco L.

En las demás condiciones no mencionadas, ni M ni L funcionan.

Realiza la tabla de verdad del circuito, extrae las funciones relativas al motor y la lámpara, y dibuja el circuitode cada uno de ellos.

16. Una máquina cepilladora de carpintería funciona por medio de dos interruptores, a y b, de tal forma que cuan-do a o b están pulsados, el motor de la máquina se pone en marcha. La máquina dispone también de un in-terruptor de seguridad denominado c, activado por el pie, de manera que es obligatorio tenerlo pisado paraque la máquina funcione, dejando de funcionar en caso de que deje de pisarse. Construye la tabla de verdady extrae la función que controla el trabajo de la máquina, así como su esquema.

ACTIVIDADES

01 electronica.qxd 11/5/09 11:44 Página 20

Page 16: Electronica UD01

9. Simplificación de funciones.Método de Karnaugh

En el diseño de circuitos digitales, es de suma importancia simplificar lo máximoposible las soluciones obtenidas a partir de una tabla de verdad. Por un lado, seempleará menos tiempo al realizarlas prácticamente, y por otro, se rebajan costesal montar un circuito con menos componentes o chips.

Existen dos métodos bien diferenciados a la hora de simplificar funciones di-gitales: el primero es un método gráfico conocido con el nombre de mapas otablas de Karnaugh y el otro es el denominado como numérico o matemáticode Quine-McCluskey. Desarrollaremos únicamente el método de Karnaugh,dejando el método matemático para el desarrollo de programas de ordenadorque lo utilizan.

9.1. Mapas de Karnaugh

El método gráfico de Karnaugh es un sistema sencillo para simplificar funcionesde hasta cuatro variables de una forma visual. Aunque también es válido para cin-co o seis variables, las dificultades que ofrecen son más que las ventajas que se ob-tienen.

Para su aplicación es necesario construir un cuadrilátero (rectángulo o cuadra-do), formado a su vez por cuadrados o celdas, cada uno de los cuales represen-ta una combinación de la tabla de verdad que se piensa simplificar. En cadacelda del cuadrado se coloca un «0» o un «1» dependiendo de la tabla de ver-dad.

En la siguiente figura se muestran los gráficos para simplificar funciones de dos,tres y cuatro variables respectivamente. Los más utilizados son los de tres y cua-tro variables.

Figura 1.19. Mapas de Karnaugh para 2, 3 y 4 variables.

En la zona superior e izquierda de cada cuadrado, se colocan las variables de en-trada, de manera que puedan aparecer todas las combinaciones de la tabla de ver-dad. Es muy importante la colocación de las combinaciones, para que entre unay la siguiente solo cambie el valor de una variable (adyacencia algebraica), por esose utiliza el código Gray (00, 01, 11, 10).

Para simplificar una función primeramente se decidirá si interesa simplificar fi-jándose en los minitérminos (combinaciones donde la salida vale «1») o en losmaxitérminos (combinaciones donde la salida vale «0»).

aba0

0

1 00

00

00 01 11 10

01

11

10

01 11 10

1

0

1

b c

cdab

Fundamentos de electrónica digital 21

En 1952 E. W. Veitch definió unmétodo gráfico para simplificarfunciones booleanas, basándose enun diagrama propuesto anterior-mente por el arqueólogo inglésA. Marquand. Posteriormente, elingeniero de telecomunicacionesestadounidense Maurice Karnaugh,completó el método de Veitch en1953, cuando trabajaba en loslaboratorios Bell. La ventaja delmétodo de Karnaugh se basa en lacapacidad del cerebro humano paratrabajar mejor con formas gráficasque con ecuaciones.

caso práctico inicial

Debido a las dificultades del méto-do gráfico de Karnaugh para resol-ver funciones de más de cinco varia-bles, Willard van Orman Quine defi-nió un algoritmo de minimizaciónlógica para ordenadores en 1955,que fue modificado un año más tar-de por Edward J. McCluskey; poreso el método numérico se le cono-ce como de Quine-McCluskey.

saber más

01 electronica.qxd 11/5/09 11:44 Página 21

Page 17: Electronica UD01

Si se decide trabajar con minitérminos:

• Se colocarán los «1» en cada cuadro que corresponda según la función de sali-da que se quiere simplificar.

• A continuación, se agrupan los «1» en bloques de 2, 4, 8 o 16 (siempre poten-cias de 2). Los grupos que se formen deben estar en casillas adyacentes horizon-tal o verticalmente. Nunca en diagonal. Lo que se pretende es realizar el mí-nimo número de grupos con los grupos más grandes posibles, tomando todoslos «1» del mapa.

• A cada grupo le corresponde un término. De cada grupo solo permanecen lasvariables que mantengan su valor constante («1» o «0») en ese grupo, elimi-nando las otras. Para obtener la expresión resultante, la variable que valga «1»se escribirá de forma directa, y la variable que valga «0» se escribirá negada.

• El resultado final es la suma de todos los términos.

Si se decide trabajar con maxitérminos, el procedimiento es análogo a los pasosanteriores, solo que en la redacción hay que cambiar «1» por «0» y multiplicaciónpor suma.

22 Unidad 1

Simplifica mediante el método de Karnaugh el resultado correspondien-te a la tabla de verdad del ejemplo del punto 8:

Solución:

Sabemos del punto anterior, que la solución, en minitérminos es:

f = a– · b · c + a · b–

· c + a · b · c– + a · b · c,

Si queremos simplificar el resultado, para obtener otra función que obtengala misma salida con menos puertas, realizamos el mapa de Karnaugh. Lo pri-mero, es colocar los «1» de la salida en el cuadro que les corresponda.

Figura 1.20. Mapa de Karnaugh según los minitérminos.

A continuación, se agrupan los «1» en bloques de dos (en este caso, no sepuede agrupar en ningún bloque de cuatro). Vemos que tenemos tres gruposde dos. El resultado de cada grupo es:

Grupo 1: a · b, ya que está compuesto por la combinación a · b · c– + a · b ·c (Son constantes a y b)

Grupo 2: b · c

Grupo 3: a · c

Por lo tanto, el resultado final es: f = a · b + b · c + a · c, que, como se pue-de observar, es un resultado más simplificado y fácil de montar que el inicialpuesto en minitérminos.

abc

0

00 01 11 10

1

1

1 1 1

EJEMPLO

01 electronica.qxd 11/5/09 11:44 Página 22

Page 18: Electronica UD01

9.2. Función incompleta

En algunos casos, al resolver un problema, nos puede suceder que algunas combi-naciones de la tabla nos resulten indiferentes, bien porque nos da igual el valorfinal que adquieran, bien porque son combinaciones que en la realidad nunca sevan a dar (por ejemplo, que en un montacargas se pulse a la vez un sensor de po-sición de la planta baja y la alta). En ese caso, las combinaciones que nos resul-ten indiferentes, tendrán una «X» en la salida. La función así creada es denomi-nada «incompleta».

Para realizar la simplificación por Karnaugh, se procede de manera habitual, peroen este caso se escriben en cada celda los «1» y también las «X». A la hora de sim-plificar, realizaremos los grupos tomando los «1» y las «X» que nos interesen, sa-biendo que si alguna «X» se queda sin formar grupo no importa. Es decir, decidi-mos cuáles «X» pasan a ser consideradas como «1» (porque nos interese formarun grupo más grande, y así simplificar), y cuáles como «0».

Fundamentos de electrónica digital 23

Simplifica mediante el método de Karnaugh el re-sultado correspondiente a la tabla de verdad ad-junta:

Solución:

Figura 1.21. Mapa de Karnaugh según los minitérminos.

00

00

x x x

x

x

1

1

1

1

1

1

01 11 10

01

11

10

cdab

f = c · d + a · b + a · d + b · c

EJEMPLO

a b c d f

0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

X1X1X0101011XX00

17. Simplifica la función correspondiente a las siguientes tablasde verdad, utilizando el método gráfico del mapa de Kar-naugh, y comprueba en la práctica los circuitos resultantes,eligiendo los circuitos integrados digitales TTL o CMOS nece-sarios y verifica las tablas de verdad.

ACTIVIDADES

TABLA DEVERDAD (a)

a b c f1

0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

10001100

TABLA DEVERDAD (b)

a b c f1

0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

11001111

01 electronica.qxd 11/5/09 11:44 Página 23

Page 19: Electronica UD01

10. Circuitos integrados digitales:generalidades y tecnologías

Las puertas lógicas que acabamos de ver están incluidas dentro de unos dispositi-vos denominados Circuitos Integrados (C.I.) o, más comúnmente, chips. La figu-ra 1.22 muestra el esquema interno de una puerta AND de 2 entradas, incluidadentro del integrado TTL 7408.

Cada circuito integrado tiene un número variable de puertas, dependiendo delnúmero de entradas y salidas. El número de patillas o «pines» de cada circuito in-tegrado de puertas lógicas viene a ser de 14. Cada patilla o terminal correspondea las entradas y salidas de cada puerta, más una patilla de +VCC (positivo de ali-mentación) y otra de GND (negativo de alimentación). El encapsulado más nor-mal es el denominado DIL (Dual-IN-Line Package).

10.1. Escalas de integración de los circuitos integrados

La revolución electrónica, con la invención del transistor y su integración poste-rior, han revolucionado el panorama electrónico de las últimas décadas. Aquelloque hasta hace unos años nos resultaba increíble de creer es ahora mismo una rea-lidad, y todo gracias a la capacidad de integración de los componentes digitales,comenzando por las puertas lógicas. En los años sesenta apareció el circuito inte-grado (C.I.), un dispositivo que podía integrar simultáneamente un número de-terminado de puertas. En la actualidad, se pueden integrar cientos de miles depuertas lógicas en una superficie similar a 1 cm2. Dependiendo del número deoperadores lógicos (puertas) que se encuentren integrados en un circuito se dis-tinguen distintas escalas de integración:

24 Unidad 1

A

B 800 Ω

130 Ω1,6 KΩ2 KΩ4 KΩ

1 KΩ

OUTPUT

VCC

GND

Figura 1.22. Esquema interno deuna puerta 7408.

Escala de integración Significado Capacidad de integración Aplicaciones

SSI Small Scale Integration Hasta 10 puertas. Puertas lógicas.

MSI Medium Scale Integration Ente 10 y 100 puertas. Codificadores, multiplexores.

LSI Large Scale Integration Entre 100 y 1.000 puertas.Calculadoras elementales o losprimeros microprocesadores delos años 70 (8000 transistores).

VLSI Very Large Scale Integration De 1.000 a 10.000 puertas.Dan inicio a la era de la miniatu-rización de los equipos.

ULSI Ultra Large Scale Integration De 10.000 a 100.000 puertas.Microprocesadores y microcon-troladores.

GLSI Giga Large Scale Integration Hasta 1.000.000 de puertas.Microprocesadores y microcon-troladores de última generación.

01 electronica.qxd 11/5/09 11:44 Página 24

Page 20: Electronica UD01

10.2. Tecnologías de los circuitos integrados digitales.Familias lógicas

Tecnología bipolar

El término bipolar es debido a que los circuitos electrónicos integrados se reali-zan con transistores NPN y PNP. Como tecnologías más representativas destacanlas siguientes (aunque algunas están obsoletas):

Tecnología MOS

Los circuitos electrónicos integrados se basan en transistores MOS. Las diferen-tes tecnologías más importantes utilizadas son:

Fundamentos de electrónica digital 25

Nombre Significado Características de la tecnología bipolar

RTL Resistor Transistor Logic Ya no se fabrican al ser la tecnología muy antigua.

DTL Diode Transistor Logic Ya no se fabrican al ser la tecnología muy antigua.

HTL High Threshold Logic

Lógica de alta inmunidad al ruido. Se diseñó para aplicaciones industriales donde el ruido eléc-trico es muy elevado. Es lenta y consume mucho. En la actualidad no se utiliza ya que con la tec-nología CMOS se puede conseguir una alta inmunidad al ruido, con un consumo mucho másbajo, mayor rapidez y precio más bajo.

TTL Transistor Transistor LogicEs la más popular y se caracteriza por su alta respuesta y por su alimentación característica de5V. La más utilizada. A su vez se diferencia en subfamilias: TTL L, TTL H, TTL S, TTL LS, TTL Schottky.

ECL Emisor Coupled LogicEs la más rápida de todas. Se emplea en circuitos que requieran una alta velocidad de funciona-miento.La velocidad de propagación es de 1 ns frente a la TTL de 10 ns.

IILlntegrated InterjectionLogic

Es la que mayor densidad de integración permite (en bipolar) llegándose a fabricar microproce-sadores. Consume menos que la TTL, pero es más lenta. Es una tecnología bipolar para C.I. dealta escala de integración.

Nombre Características de la tecnología MOS

PMOSEs la más antigua, hoy obsoleta. Se basa en los transistores MOS de canal P. Tiene alta densidad de integración, pero muylenta. El microprocesador 8080 de Intel se fabricó con esta tecnología

NMOSEs más rápida que la PMOS. Es la tecnología empleada en los microprocesadores de 8 bits (6800 de Motorola, el 8085 deIntel y el Z80 de Zilog). Es una tecnología normal en la fabricación de alta escala de integración(LSI) como de microproce-sadores, memorias, interfaces, etc.

CMOSDomina en el campo de los CI de escala de integración media (MSI), empleándose también en los LSI. Se caracteriza porsu bajo consumo y porque su alimentación varía de los 3V a los 15V.

HCMOSEs una versión mejorada de la CMOS, que permite una alta velocidad de operación. También se la conoce como la CMOSde alta velocidad. Los circuitos que son de tecnología HCMOS suelen llevar las siglas 74HC/HCT/HCU, y son compatiblescon los circuitos TTL.

HMOSEs una tecnología avanzada MOS creada por Intel. Es de alta velocidad y gran densidad de integración. Es la tecnología delos nuevos microprocesadores de los 8086, de 16 bits. Esta tecnología ha propiciado el paso a los circuitos de muy altaescala de integración (VLSI) consiguiendo la integración de un millón de transistores en un chip.

01 electronica.qxd 11/5/09 11:44 Página 25

Page 21: Electronica UD01

10.3. Características de las puertas digitales integradas

Tensión de alimentación

Indica la tensión adecuada para el correcto funcionamiento del integrado. EnTTL, la tensión típica de alimentación es 5 V, y puede oscilar entre 4,75 V y5,25 V. Fuera de esos márgenes, el fabricante no asegura el buen funcionamientodel circuito. En circuitos con tecnología CMOS, el margen oscila entre 3 y 18 V.

Tensiones de entrada-salida

Especifica los niveles de tensión y corriente en las entradas y en la salida. Es ne-cesario conocer estas características para una mejor utilización del circuito, sobretodo cuando se hacen montajes con diferentes tecnologías, o hay que controlarcircuitos de salida con LED, transistores, triacs, etc.

Fan-out

Es el número máximo de entradas que una salida puede activar, permaneciendolos niveles dentro de los valores garantizados. El fan-out depende, por tanto, dela corriente que puede dar la salida y de la corriente que absorben las entradas. Lasuma de todas las corrientes de las entradas tiene que ser, como máximo, igual ala máxima corriente que puede dar cada salida. Iomáx > n · Ii (I1 = I2 = …= In = Ii)

La familia lógica TTL tiene un fan-out de 10, mientras que la CMOS tiene un fan-out de 50.

Tiempo de propagación medio

Es el tiempo que transcurre desde que la entrada de una puerta recibe una señal,hasta que aparece en la salida el resultado de la entrada. Se mide en nanosegun-dos (ns). Podríamos resumirlo diciendo que es el tiempo que tarda en responderuna puerta. Una puerta no emplea el mismo tiempo para pasar de nivel alto abajo, que para pasar de bajo a alto, por lo que el tiempo medio es la media de losanteriores.

26 Unidad 1

I1

I2

In

Iomáx

Figura 1.23. Fan-out en una puertaNAND.

Parámetros para las tensiones de entradas Parámetros para la tensión de salida

VIH

Voltaje de entrada para el nivel alto.El fabricante proporciona la tensión mínima quegarantiza el «1» en la entrada (VIHMin).

VOH

Voltaje de salida para el nivel alto.El fabricante proporciona la tensión mínima que pue-de aparecer (VOHMin).

VIL

Voltaje de entrada para el nivel bajo.El fabricante proporciona la tensión máxima quegarantiza el «0» en la entrada (VILMáx).

VOL

Voltaje de salida para el nivel bajo.El fabricante proporciona la tensión máxima que pue-de aparecer. Idealmente 0 V (VOLMáx).

IIHIntensidad de entrada cuando se le aplica elnivel alto (1).

IOH Intensidad de salida en el nivel alto (1).

IILIntensidad de entrada cuando se le aplica elnivel bajo (0).

IOL Intensidad de salida en el nivel bajo (0).

01 electronica.qxd 11/5/09 11:44 Página 26

Page 22: Electronica UD01

Frecuencia máxima de trabajoSe mide en MHz. Es el límite máximo de frecuencia en la entrada sin que se pro-duzcan errores en la salida. Está muy relacionada con la característica anterior, yaque si una puerta tarda mucho en responder, tendrá poca frecuencia de trabajo.En TTL suele ser de 35 MHz, y en CMOS de 1 MHz.

a Figura 1.24. Tiempo de propagación medio de una puerta inversora.

RuidoSe mide en mV. Indica el valor máximo de tensión no deseada que se superponeen la entrada de una puerta sin que afecte a la salida. En TTL suele ser de 250 mV.En CMOS, del orden de 1/3 de la tensión de alimentación (Val).Las señales de ruido distorsionan las formas de ondas de las señales digitales. Si lamagnitud del ruido es grande, se producen fallos en la información digital. Conrespecto al ruido eléctrico, en los sistemas digitales no se acumula cuando pasa deun circuito a otro como sucede en los analógicos, de ahí que se vayan introducien-do las técnicas digitales en todas las aplicaciones que sea posible.

Disipación de potenciaSe mide en mW. Valora la potencia consumida por cada operador lógico. Se de-fine la potencia media disipada por puerta (valor medio de la potencia disipadaen los estados «0» y «1»). Es importante saber que la potencia aumenta con la fre-cuencia de trabajo, ya que aparecen picos transitorios elevados de corriente en laconmutación. En TTL es del orden de 2 a 10 mW (dependiendo de la subfami-lia), mientras que en CMOS es del orden de nW.

Temperatura de trabajoEs aquella para la cual el fabricante asegura un óptimo rendimiento y duración delintegrado. Lo normal son 25 °C, y el margen de buen funcionamiento oscila de0 a 70 °C.

Conclusiones finales respecto de las característicasRespecto a todas estas características, lo ideal sería tener un circuito integradocon puertas que fueran lo más rápidas posible, que consumieran poca energía, congran margen de alimentación, con gran margen de inmunidad frente al ruido y va-riaciones de temperatura, y que fueran de bajo coste. Esto, como se supondrá, esimposible, de manera que los fabricantes llegan a un compromiso entre la veloci-dad, el consumo y el coste, realizando distintas tecnologías de fabricación, que su-ponen distintas familias de circuitos integrados, teniendo cada una sus campos deaplicación determinados.

Señal de entrada

tPHL tPHL

Señal de salida

50 %50 %

50 %

tPD

tPHL + tPLH

2= ––––––––

50 %

Fundamentos de electrónica digital 27

El concepto de ruido en los sistemasdigitales en general significa: pertur-baciones transitorias indeseadas quese producen en los niveles lógicos delos circuitos, debido a causas inter-nas o externas. Entre las diferentescausas tenemos: (a) ruido eléctricoambiental generado por motores,fluorescentes, etc., (b) ruido por laalimentación y (c) ruido por acoploentre pistas cercanas.

saber más

Existe una familia TTL que comien-za por el número 54. Tiene los mis-mos circuitos que la serie 74 y coin-cide también el patillaje. La diferen-cia es que la serie 54 es la versiónmilitar e industrial (aeroespacial) dela serie 74. Esto lleva consigo que lasespecificaciones sean superiores. Porejemplo, el margen de temperaturaoscila entre: -55 °C y 125 °C. El mar-gen de tensión de alimentaciónaumenta, desde 4,5 V a 5,5 V.

saber más

01 electronica.qxd 11/5/09 11:44 Página 27

Page 23: Electronica UD01

28 Unidad 1

ACTIVIDADES FINALES

1. Expresa el número 23 de base decimal (base diez) a binario (base dos).

2. Convierte el número 280 de base decimal a base hexadecimal (base dieciséis).

3. Pasa el número binario 1001010 a base decimal.

4. Indica que número decimal se corresponde con el número hexadecimal F14D.

5. Con ayuda del entrenador digital que tengas en el aula-taller, comprueba el funcionamiento de los si-guientes integrados, realizando sus tablas de verdad: 7400, 7402, 7404, 7408, 7432 y 7486.

6. Implementa con puertas lógicas la siguiente función, realizando previamente su tabla de verdad:

f = a · b–

+ a · c + a– · b–

7. Aplica las propiedades y teoremas adecuados del álgebra de Boole, para simplificar las siguientes funcio-nes:

a) f = (a · 0) · (b + b) + (b + b–) + (a · a) + (b + 1) · (c · c–)

b) f = (b + 1) · a · a– + a + c · c + b · 0 + c

c) f = a– · b · c– + b · c · (c– + 1) + a · b · c– · (a + a–)

d) f = a · b–

+ a · b · c + a · b–

· c + a · b

e) f = a · b–

· c– + a · b–

· c– · d + a · b–

f) f = (a · c– + c) · (a––+ c) · (b · c + a + a–)

8. Aplica las leyes de Morgan en los siguientes casos:

a) f = a––––

· ––c

––+

––a

––· b

––·––c–

––+

–c b) f = (b

–+ c–) · (–c +–b–

+–a)

9. Con ayuda de las propiedades del álgebra de Boole, simplifica la función f = a · b–

+ a · c + a– · b–, y com-

prueba que el resultado final es el mismo que sin simplificar.

10. Obtén la ecuación en minitérminos (minterms) y maxitérminos (maxterms) de lafunción definida en la siguiente tabla de verdad:

11. Dada las siguientes tablas de verdad, debes obtener la ecuación más simplificadade las funciones f1 y f2 ayudándote del método de Karnaugh:

12. Dada la función f = a · b · c + a– · b–

· c– + a · b · c– + a · b–

· c, realiza su tabla de verdad y simplifícala me-diante Karnaugh.

ACTIVIDAD (11)

a b c f1 a b c f2

0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

11001111

0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

X101010X

ACTIVIDAD (10)

a b c f1

0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

10000110

01 electronica.qxd 11/5/09 11:44 Página 28

Page 24: Electronica UD01

Fundamentos de electrónica digital 29

13. Simplifica mediante Karnaugh la función f expresada en minitérminos:

14. Halla la expresión que queda al simplificar la siguiente función:

15. Diseña un automatismo con puertas NOR que gobierne una máquina M desde tres interruptores A, B,y C, de forma que se active M siempre que A y B esté pulsados y también si A está pulsado y los otrosdos no.

16. Un piloto de señalización de alarma «debería estar encendido», siempre que ocurra cualquiera de las si-guientes situaciones:

a) Cuando el sensor situado en un punto A está desactivado, el sensor B activado y el C en cualquier posición.

b) Cuando los sensores A y B están desactivados y el sensor C activado.

c) Cuando todos los sensores están activados.

d) En todas las demás combinaciones la luz deberá permanecer apagada.

Simplifica la función mediante el método de Karnaugh y obtén el circuito con puertas NAND.

17. Dibuja el circuito correspondiente a la función f = a– · b · c– + a · c + a · b–, así como su tabla de verdad. Rea-

liza el dibujo en puertas del sistema ANSI y del sistema DIN.

18. Un circuito posee cuatro entradas a, b, c y d. El circuito responderá con un 0 cuando las entradas a y dsean 1 o cuando las entradas b y c valgan 1. Por razones de prioridad, las combinaciones a = 1, b = 1, c = 0,d = 1 y a = 1, b = 1, c = 1, d = 1 serán indiferentes.

19. Un sistema de alarma está constituido por cuatro detectores denominados a,b, c y d. El sistema debe activarse cuando se activen tres o cuatro detectores,si solo lo hacen dos detectores, es indiferente la activación o no del sistema.Por último, el sistema nunca debe activarse si se dispara un solo detector oninguno. Por razones de seguridad, el sistema se deberá activar si a = 1, b = 0,c = 0 y d = 0.

20. Diseñar un circuito combinacional al que le llega una información en binarioque representa un dígito decimal. El sistema ha de ser capaz de seleccionarlos diodos LED necesarios para la representación en un display del nú-mero que llega.

f = ∑( , , , , , , , )0 1 4 5 8 10 11 124

f = ∑( , , , , , )1 2 3 5 6 73

entra en internet21. Busca en Internet información sobre C.I. con puertas lógicas con tecnología TTL, lo puedes encontrar en:

http://www.esi.uclm.es/www/isanchez/teco/puertas.htm

http://electronred.iespana.es/ptas_log.htm ; http://torio.unileon.es/~dierar/etc/pdf/digital1.pdf

22. Investiga en Internet ejemplos prácticos de circuitos lógicos. Puedes encontrar información en:

http://www.fortunecity.es/felices/barcelona/146/3ds/digital/eldigital04.html

a Figura 1.25.

a

g

dce

f b

01 electronica.qxd 11/5/09 11:44 Página 29

Page 25: Electronica UD01

30 Unidad 1

PRÁCTICA PROFESIONALEQUIPOS

• Polímetro digital

• Generador de funciones

• Fuente de alimentación

HERRAMIENTAS

• Alicates planos y pinzas

• Tijeras de electricista

• Útil pelacables

MATERIAL

• Placa de inserción de componentes

• C.I. 7404, 7408, 7432

Montaje de un circuito con puertaslógicas y comprobación de la función simplificada

OBJETIVOS• Montar el circuito correspondiente a una función lógica de tres variables en una

placa de inserción de componentes y en un entrenador de electrónica.

• Distinguir los distintos tipos de C.I. que son necesarios según la función aimplementar.

• Verificar el correcto funcionamiento del circuito, comprobando la tabla deverdad.

• Comprobar que la función inicial se puede simplificar, obteniendo otra mássencilla y con el mismo resultado.

PRECAUCIONESTener cuidado de no doblar o dañar algunapatilla al colocar los C.I. en la placa y verifi-car el patillaje.

Comprobar el valor correcto de la tensiónde alimentación para los integrados que seutilizarán (familia TTL), así como las patillasen donde se conecta.

(En www.editex.es, ficha del libro, puedesdescargar la hoja de características del fa-bricante).

DESARROLLO1. La función que vamos a implementar obedece a la expresión:

f = a · b + a · c + a– · b–

· c + a · b–

Lo primero que hacemos es dibujar el esquema eléctrico demontaje que corresponde a la expresión de la función. Despuésbuscamos los C.I. digitales que nos permitan llevar a cabo elmontaje. En este caso, elegimos C.I. del tipo TTL, y a la vista delesquema, vemos que necesitamos integrados con puertas OR,AND y NOT. Obtenemos la información que necesitamos: de losintegrados 7404(1), 7408(2) y 7432(1). Para montar el circuitoen la placa de inserción de componentes, hay que tener a manotanto el esquema del circuito, como el patillaje de cada integra-do, para conectar cada cable en el lugar correcto.

Para las entradas de señal nos serviremos de los conmutadores del entrenador de electrónica, que llevan a «0» o«1» cada entrada, pudiendo así realizar todas las combinaciones de entrada necesarias. La salida de la funcióndisponible en la patilla correspondiente del C.I. 7432, se llevará también a un LED disponible en el citado entre-nador de manera que cuando la salida sea «1» el LED permanece encendido, y apagado en caso contrario.

a Figura 1.26. Entrenador con placa de inserción de componentes y medi-da de tensión de 5 V para TTL.

a Figura 1.27. Esquema de la función lógica.

a b c

S

01 electronica.qxd 11/5/09 11:44 Página 30

Page 26: Electronica UD01

Fundamentos de electrónica digital 31

Para comprobar el correcto funcionamiento del circuito, extraemos la tabla de verdad de la función.

2. Una vez comprobado que el montaje funciona en todas las combinaciones de la tabla de verdad, procede-mos a simplificar la función mediante el método de Karnaugh aprendido en la presente unidad. El resultadoque obtenemos es una función bastante más sencilla que la inicial: f = a + b

–· c. Solo nos queda montar el

nuevo circuito y comprobar si es cierto que la función se puede simplificar sin variar el resultado.

3. Para empezar, ya vemos que el hecho de simplificar nos ha proporcionado un circuito bastante más simple.Necesitaremos un C.I. integrado menos, y los que tenemos que conectar apenas llevan conexiones. Una vezmontado, comprobamos la tabla de verdad, y observamos que el resultado práctico final es el mismo quecon la función sin simplificar, quedando demostrada la simplificación por mapa de Karnaugh.

a Figura 1.28 Integrados utilizados en la práctica. a Figura 1.29. Conmutadores de entrada y visualizadores de salida.

a Figura 1.30. Montaje del circuito. a Figura 1.31. Circuito simplificado.

a b c

s

a Figura 1.32. Montaje del nuevo circuito de la fun-ción simplificada.

a Figura 1.33. Detalle del montaje de la función simpli-ficada.

TABLA DE VERDAD

a b c f

0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

01001111

01 electronica.qxd 11/5/09 11:44 Página 31

Page 27: Electronica UD01

32 Unidad 1

MUNDO TÉCNICO EN

1. Obtén la tabla de verdad mediante mediciones con las sonda lógica de las puertas: NAND (C.I. 7400), NOR(C.I. 7402), NOT (C.I. 7404), AND (C.I. 7408), OR (C.I. 7432) y OR-exclusiva (C.I. 7486).

2. Monta en una placa de pruebas (board) los circuitos de las actividades 15 y 16 y verifica su funcionamientoutilizando una sonda lógica. Obtén la tabla de verdad de los circuitos, realizando las medidas oportunas conla sonda y compárala con la obtenida de forma teórica.

Actividades

EV

La sonda lógica

Una sonda lógica (Logic Probe) es un instrumento utilizado en electrónica digital para determinar el nivel lógico en losdistintos puntos de un circuito. Se alimenta a partir de la propia tensión de alimentación del circuito con el que se traba-ja, para ello dispone de dos pinzas de cocodrilo, una de color rojo que deberá conectarse al positivo y otra de color negroque irá al negativo. Consta de una punta metálica que se pondrá en contacto con el punto del circuito digital cuyo nivelse desea conocer. El nivel lógico se suele indicar mediante LED de distinto color, generalmente rojo para el nivel alto y ver-de para el nivel bajo. Algunos modelos disponen además de dos tonos acústicos diferenciados para cada nivel.

Extraído de Wikipedia (http://es.wikipedia.org/wiki/Sonda_l%C3%B3gica)

LOGIC PROBE MAPLIN FY73Q (http://www.maplin.co.uk/module.aspx?moduleno=5671)

Características

Es una sonda lógica de alta calidad para su uso con circuitos integrados TTL y CMOS. La son-da tiene una función de memoria que puede detectar la aparición de pulsos simples. Una se-ñal con una tasa baja de repetición de pulsos estrechos, que son casi imposibles de ver, sonfácilmente detectables y visibles con esta sonda. La sonda dispone de tres LED, uno rojo paraniveles lógicos altos, uno verde para niveles lógicos bajos y uno de color amarillo para la detec-ción de pulsos. La intensidad del LED de pulsos es directamente proporcional a la del ciclo dela señal observada. Es capaz de reconocer pulsos simples y señales de pulsos de duración en-tre 30 ns y 500 ms. Para su uso, el terminal de color rojo se debe conectar al positivo del circui-to bajo prueba, y el negro al negativo. Al realizar la conexión, el LED amarillo puede parpade-ar una o dos veces, pero si continúa parpadeando indica que la alimentación es excesiva. Conel interruptor en posición TTL deben utilizarse +5 V y en la posición de CMOS la tensión pue-de estar comprendida entre 3 V y 18 V de corriente continua.

Especificaciones técnicas

• Detector de pulso. Tren de pulsos o pulsos simples de duración 500 ms. Conmutador posi-cionado en memoria (MEM).

• Niveles de detección. LED Rojo (HI): TTL 2.3V ± 0.2V, CMOS 70% ± 10% Vcc. LED Verde(LO): TTL 0.8V ± 0.2V, CMOS 30% ± 10% Vcc

• Mínimo ancho de pulso detectable: 30 ns.

• Impedancia de entrada:> 1 MΩ.

• Respuesta en frecuencia: 0-17 MHz.

• Entrada sobrecarga.

• Protección de sobretensión de entrada: ± 220 V DC / AC para <15 s.

a Figura 1.34. Sondalógica.

01 electronica.qxd 11/5/09 11:44 Página 32

Page 28: Electronica UD01

Fundamentos de electrónica digital 33

EN RESUMEN

1. ¿Cuántos niveles existen en electrónica digital?

a) Infinitos. b) Dos.

c) Depende del valor de la tensión. d) Ninguno.

2. ¿Qué sistema de numeración se utiliza en circui-tos digitales?

a) Binario. b) Decimal.

c) Centesimal. d) Vigesimal.

3. Los teoremas de De Morgan tienen como aplica-ción:

a) Verificar que se cumple la tabla de verdad.

b) Simplificar el circuito reduciendo el número de puertas.

c) Transformar sumas lógicas en productos y viceversa.

d) Ver si la función va a tener un nivel lógico en la salida.

4. El sistema hexadecimal utiliza como base:

a) 16. b) 6.

c) 15. d) 8.

5. La tabla de verdad de un sistema de tres entradastiene:

a) 2 combinaciones. b) 8 combinaciones.

c) 16 combinaciones. d) 3 combinaciones.

6. El parámetro conocido como fan-out indica:

a) Todos los posibles estados lógicos a la salida.

b) La cantidad máxima de cambios por segundo en lasalida.

c) El número máximo de puertas que se pueden conec-tar a la salida de otra puerta.

d) La potencia del ventilador (fan) del circuito.

EVALÚA TUS CONOCIMIENTOS

SISTEMAS DE NUMERACIÓN

SISTEMAS DIGITALES

BINARIO DECIMAL HEXADECIMAL

aa a sb

a sb

a sb

a sb

a sb

PUERTAS LÓGICAS

(Álgebra de Boole)

NOT OR AND NOR NAND XOR

Códigos binarios

• Natural• Aiken• Exceso 3

BINARIONATURAL

BCD

FAMILIAS

TTL CMOSGRAY

Códigos Alfanuméricos: ASCII REALIZACIÓN DEL CIRCUITO PRÁCTICO

TABLA DEVERDAD

SimplificaciónKARNAUGH

01 electronica.qxd 11/5/09 11:44 Página 33