Documents.mx Grupo90178 8 Proyecto Carro

36
SISTEMAS DIGITALES SECUENCIALES PRESENTADO: TRABAJO PRÁCTICO 90178A_2888 JOSE EFRAIN CORDOBA PARDES COD. 1075219245 TUTOR FAIVER ROBAYO UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA CEAD NEIVA 1

description

sale

Transcript of Documents.mx Grupo90178 8 Proyecto Carro

Page 1: Documents.mx Grupo90178 8 Proyecto Carro

SISTEMAS DIGITALES SECUENCIALES

PRESENTADO:

TRABAJO PRÁCTICO 90178A_2888

JOSE EFRAIN CORDOBA PARDES

COD. 1075219245

TUTOR

FAIVER ROBAYO

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD

ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA

CEAD NEIVA

1

Page 2: Documents.mx Grupo90178 8 Proyecto Carro

OBJETIVO GENERAL

Lograr reconocer las intencionalidades formativas del curso para el desarrollo de esta actividad.

OBJETIVOS ESPECÍFICOS

Reconocer las unidades que se verán en el curso de sistemas digitales secuenciales Lograr que el estudiante reconozco la estructura general del curso para manipular y

desarrollar las diferentes herramientas que nos brinda este curso. Lograr que el estudiante conozca sus compañeros de grupo colaborativo y su tutor Aprender la implementación de proyectos digitales secuenciales, entender y

practicar el análisis y los procedimientos de diseño con casos reales y prácticos

2

Page 3: Documents.mx Grupo90178 8 Proyecto Carro

INTRODUCCIÓN

A continuación daremos a ver los conocimientos adquiridos durante el periodo de aprendizaje de la unidad número uno del módulo de circuitos digitales secuenciales y los anteriores cursos como lógica matemática y sistemas digitales básico, demostrando las fallas y virtudes hacia el éxito de nuestro diseño.

Para entender la implementación de este proyecto del carro robot debemos tener claro el concepto general de aplicación de los Sistemas Digitales Secuenciales o máquinas de estados finitos, donde la salida no solo dependerá de las entradas presentes, también dependerá de la historia pasada, de lo que sucedió antes, por lo que necesitara elementos de memoria que recojan esta información anterior del sistema.

Este trabajo pretende dar a conocer con gran facilidad cada una de las diferentes temáticas a tratar en el material de estudio, para ello se ve necesario comprender y aplicar los modelamientos de los Sistemas Digitales Secuenciales.

3

Page 4: Documents.mx Grupo90178 8 Proyecto Carro

MARCO TEÓRICO

MULTIVIBRADOR: Es un circuito oscilador capaz de generar una onda cuadrada. Según

su funcionamiento, los multivibradores se pueden dividir en dos clases:

De funcionamiento continuo, astable o de oscilación libre: genera ondas a partir de

la propia fuente de alimentación.

De funcionamiento impulsado: a partir de una señal de disparo o impulso sale de su

estado de reposo.

Si posee dos de dichos estados, se denomina biestable.

Si poseen uno, se le llama monoestable.

En su forma más simple son dos simples transistores realimentados entre sí. Usando redes

de resistencias y condensadores en esa realimentación se pueden definir los periodos de

inestabilidad. Un circuito integrado multivibrador muy popular es el 555, que usa un

sofisticado diseño para lograr una gran precisión y flexibilidad con muy pocos

componentes externos.

4

Page 5: Documents.mx Grupo90178 8 Proyecto Carro

FLIP- FLOP: Es un multivibrador capaz de permanecer en uno de dos estados posibles

durante un tiempo indefinido en ausencia de perturbaciones.1 Esta característica es

ampliamente utilizada en electrónica digital para memorizar información. El paso de un

estado a otro se realiza variando sus entradas.

COMPUERTAS LÓGICAS: Es un dispositivo electrónico el cual es la expresión física de

un operador booleano en la lógica de conmutación. Cada puerta lógica consiste en una red

de dispositivos interruptores que cumple las condiciones booleanas para el operador

particular. Son esencialmente circuitos de conmutación integrados en un chip.

PUENTE H o Puente en H: es un es un circuito electrónico que permite a un motor

eléctrico DC girar en ambos sentidos, avance y retroceso.

Cuando los interruptores S1 y S4 están cerrados y S2 y S3 abiertos, se aplica una tensión

positiva en el motor, haciéndolo girar en un sentido. Abriendo los interruptores S1 y S4 y

cerrando S2 y S3, el voltaje se invierte, permitiendo el giro en sentido inverso del motor.

Fase 1: Descripción del Problema.

Una vez estudiados los contenidos de la unidad I, usando una circuitería combinacional y circuitos secuenciales, se debe diseñar un pequeño vehículo impulsado por dos motores DC, uno en cada rueda trasera. El carro contará con dos sensores en la parte frontal que servirán para detectar el impacto del carro con un obstáculo. El diseño debe ser tal que el vehículo inicie su marcha en reversa durante 3 segundos y luego seguir su marcha normal hacia adelante; una vez éste impacte debe recordar que el choque activa uno de los sensores con el fin que el vehículo retroceda girando en un sentido diferente. No importa cuál de los dos sensores se active o haga el impacto siempre debe cambiar de giro, no importa que impacte dos veces con el mismo microswitche. Una vez que uno de los sensores haya detectado el impacto del carro, éste deberá retroceder por un tiempo de tres (3) segundos y reiniciar su marcha hacia adelante, este tiempo debe ser controlado usando un temporizador 555 en modo monoestable.

5

Page 6: Documents.mx Grupo90178 8 Proyecto Carro

LISTA DE MATERIALES

7404 COMPUERTA NOT

7408 COMPUERTAS AND

74LS32 COMPUERTA OR

L293D PUENTE H

74LS76 FLIP FLOP TIPO JK

555 INTEGRADO

MOTORES 12 Vdc

MICRO SWITCH

10µF CONDENSADORES

150µF CONDENSADORES

100µF CONDENSADORES

18.2KΩ RESISTENCIAS

10kΩ RESISTENCIAS

PROTOBOAR

CARGADOR 5V 1AMP

ESTRUCTURA CARRO

6

Page 7: Documents.mx Grupo90178 8 Proyecto Carro

DIAGRAMA

Primero se hacen los cálculos para encontrar el tiempo empleado para cada multivibrador

utilizando las formulas estudiadas en el módulo.

CIRCUITO MONOESTABLE:

La salida del circuito es inicialmente cero, el transistor está saturado y no permite la carga del condensador C1. Pero al pulsar SW1 se aplica una tensión baja en el terminal de disparo TRIGGER, que hace que el biestable RS cambie y en la salida aparezca un nivel alto. El transistor deja de conducir y permite que el condensador C1 se cargue a través de la resistencia R1. Cuando la tensión en el condensador supera los 2/3 de la tensión de alimentación, el biestable cambia de estado y la salida vuelve a nivel cero.

Asumiendo a C=100uF, podemos calcular el valor de la resistencia de Carga Rc, para un tiempo de 2 Segundos.

T = 1.1* Rc*C

Despejando Rc.

Rc = T/(1.1*C)

Rc = 2/(1.1*100uF)

7

Page 8: Documents.mx Grupo90178 8 Proyecto Carro

Rc=18181 Ohm

Rc=18,2 KOhm

Asumiendo a C=150uF, podemos calcular el valor de la resistencia de Carga Rc, para un tiempo de 3 Segundos.

T = 1.1* Rc*C

Despejando Rc.

Rc = T/(1.1*C)

Rc = 3/(1.1*150uF)

Rc=18181 Ohm

Rc=18,2 KOhm

8

Page 9: Documents.mx Grupo90178 8 Proyecto Carro

Segundo configuraremos el Flip flop en togget y lo conectamos en el multivibrador de

3seg, así evitaremos que haga el cambio si por alguna razón los pulsos se activan en un

choque antes de que empiece a marchar hacia adelante.

TABLA DE VERDAD FUNCIONES LÓGICAS

9

Page 10: Documents.mx Grupo90178 8 Proyecto Carro

SW Der SW Izq Suma SW Der + Izq Out 555 Motor 1 Motor 2

Adelante 0 0 0 0 Avance 0 0Adelante 0 0 0 1 NO x xAdelante 0 0 1 0 NO x xAdelante 0 0 1 1 NO x xGiro Der 0 1 0 0 Avance 0 0Giro Der 0 1 0 1 NO x xGiro Der 0 1 1 0 Retroceso 1 1Giro Der 0 1 1 1 Giro 0 1Giro Izq 1 0 0 0 Avance 0 0Giro Izq 1 0 0 1 NO x xGiro Izq 1 0 1 0 Retroceso 1 1Giro Izq 1 0 1 1 Giro 1 0Giro Izq 1 1 0 0 Avance 0 0Giro Izq 1 1 0 1 NO x xGiro Izq 1 1 1 0 Retroceso 1 1Giro Izq 1 1 1 1 Giro 1 0

EntradaBITSDIRECCIÓN BITSPASO

SalidaMotor

Siguiente tenemos que hacer la parte lógica del circuito para que tengamos el control de la

dirección de los motores. Utilizando el álgebra booleana, tenemos que; Las entradas son

SW Der, SW Izq, Suma SW Y Out 555 y las salidas para cada motor, serán Motor1 y

Motor2, obteniendo así la tabla de verdad.

MAPAS DE KARNOUGH

Motor 1: (A+|D)(C) Motor 2: (|A+|D)(C)

Por último se conecta cada salida a un puente H la cual por lo general se hace con

transistores o con un circuito integrado, el puente H necesita dos entradas lógicas para cada

motor, así que conectamos cada salida de las compuertas OR compuertas NOT para cada

entrada del puente H del conjunto de motores.

10

Page 11: Documents.mx Grupo90178 8 Proyecto Carro

Funcionamiento:

Cuando se produzca el disparo la salida saltará a 1 lógico cambiando la salida, de modo que el estado regresa en forma automática a su valor predefinido después de cierto tiempo de carga del condensador T calculado por la red de carga RC como:

T = 1.1* Rc*C

Este será el tiempo estimado para que el condensador llegue al nivel de Threshold. Una vez superado este nivel el comparador superior pondrá la salida en 0 lógico, descargando casi instantáneamente el condensador.

11

Page 12: Documents.mx Grupo90178 8 Proyecto Carro

CIRCUITO COMBINACIONAL CON VHDL

library ieee;

use ieee.std_logic_1164.all;

entity robot is port(

entradas: in bit_vector(2 downto 0);

salidas: out bit_vector(1 downto 0));

end entity;

architecture trabajo1 of robot is

begin

p: process (entradas)

begin

12

Page 13: Documents.mx Grupo90178 8 Proyecto Carro

case entradas is

when "000" => salidas <= "00";

when "001" => salidas <= "01";

when "010" => salidas <= "10";

when "011" => salidas <= "11";

when "100" => salidas <= "00";

when "101" => salidas <= "10";

when "110" => salidas <= "01";

when "111" => salidas <= "11";

end case;

end process;

end;

MONTAJE DEL CIRCUITO

13

Page 14: Documents.mx Grupo90178 8 Proyecto Carro

ANALISIS DE RESULTADOS

Para la comprensión de la idea principal del proyecto se toma la iniciativa de que el auto

tiene que moverse hacia adelante y después de un choque dar reversa y girar hacia la

izquierda y después volver a iniciar su proceso de marcha y después de otro choque girara

hacia la derecha, aquí tenemos que tener en cuenta de que el carro debe reconocer en qué

estado estuvo primero, así que necesita almacenar un bit de memoria, por lo tanto se

necesita solo un flip-flop para almacenar tal información básica, por medio de los dos

multivibradores se toma el tiempo de reversa y de giro de los motores y por medio de

compuertas lógicas y con ayuda de él algebra booleana se hace una tabla de verdad dando a

conocer lo que queremos que el sistema haga.

Simplificamos tal información para encontrar el circuito lógico que controle la dirección de

nuestros motores y final mente se instala un puente H para la polarización de cada uno de

los motores, de hecho aquí se trabaja todo lo que tiene que ver con la unida 1 del módulo de

sistemas digitales secuenciales con utilidades ya vista en el módulo de sistemas digitales

básicos.

CONCLUSIONES

14

Page 15: Documents.mx Grupo90178 8 Proyecto Carro

Resulta muy útil un sistema que almacene 1 bit de memoria como lo son los flip-

flops

La utilización del puente H permite cambiar la polaridad de los motores a partir de una fuente sencilla, y de esta manera obtener el movimiento hacia adelanta y hacia atrás del carro

Por medio de algebra booleana se puede simplificar circuitos lógicos

Los multivibradores dependen de la Rc y de la C para determinar el tiempo de

duración del pulso

Se pueden hacer diferentes tipos de circuitos que reconozcan el ultimo estado y

general la salida lógica que necesitamos

En el desarrollo de este proyecto debemos tener en cuenta de que la fuente al ser

conectada preste la suficiente corriente necesitada por el circuito ya que los motores

por su consumo de potencia hace de que el circuito se encuentre en un estado de

bajo subministro de voltaje e intensidad haciendo que el circuito no trabaje

adecuadamente o tenga resultados inesperados.

BIBLIOGRAFÍA

Agenda del curso de sistemas digitales secuenciales, recuperado el 20 febrero

15

Page 16: Documents.mx Grupo90178 8 Proyecto Carro

http://datateca.unad.edu.co/contenidos/agendas/2015-10/90178.htm

Contenido del curso- Unidades del curso- sistemas digitales secuenciales, recuperado el 20 febrero http://campus13.unad.edu.co/campus13_20151/course/view.php?id=123#

Guía Integradora de Actividades, Tomado de:

http://datateca.unad.edu.co/contenidos/90178/SDS-2015-I/GUIAINTEGRADADE

ACTIVIDADES-90178.pdf

Syllabus del Curso Sistemas Digitales Secuenciales, Tomado de:

http://datateca.unad.edu.co/contenidos/90178/SDS-2015-I/GUIAINTEGRADADE

ACTIVIDADES-90178.pdf

DESCRIPCIÓN DE LA PRÁCTICA

16

Page 17: Documents.mx Grupo90178 8 Proyecto Carro

En esta sesión de práctica el grupo deberá revisar y seleccionar un circuito secuencial que permita en un display de siete segmentos la secuencia de los siguientes números de forma cíclica. 0 – 2 – 4 – 6 – 8 – 10 – 12 – 14 – 16 – 18 – 20 – 19 - 17 - 15 – 13 – 11 – 9 – 7 – 5 – 3 - 1. Con un temporizador C555 con una frecuencia de oscilación de dos (2) segundos para realizar el montaje físico y generar el respectivo video que evidencie el funcionamiento del proyecto.

Realizar un video para el diseño implementado y su funcionamiento del circuito en físico. Se debe subir el video a un gestor de videos en la web como evidencia del trabajo realizado y anexar su link correspondiente en el informe final de la actividad.

El diseño debe contener: Tabla de estados basados en las variables a utilizar. Mapas de Karnaugh en donde se detalle la simplificación de las funciones del circuito. Diagramas de bloques funcionales. Etapa de visualización del contador. Pantallazo del diagrama del circuito que se diseñó y se va a implementar físicamente.

INTRODUCCIÓN

La ingeniería electrónica está compuesta por múltiples materias que son necesarias para la formación completa e integral, una de las más importantes es el estudio de sistemas

17

Page 18: Documents.mx Grupo90178 8 Proyecto Carro

digitales la cual está evolucionando constantemente, como tal es muy importante el estudio de sus temas elementales antes de abordar temas de mayor complejidad, en este caso se abordara el diseño de sistemas secuenciales con flip flops y lógica básica ya vista anteriormente.

Teniendo en cuenta que la lógica combinacional es todo sistema digital, en el que sus salidas son función exclusiva del valor de sus entradas en un momento dado, sin que intervengan en ningún caso estados anteriores de las entradas o de las salidas, el presente trabajo está basado en el proyecto de un sistema secuencial usando display siete segmentos, utilizando los conceptos de Flip- Flop y de lógica combinacional, para ello se debe diseñar y construir utilizando dos display siete segmentos y un temporizador 555 Multivibrador Astable. Aplicando los conocimientos previos obtenidos en materias y proyectos anteriores.

Una vez obtenidos los resultados teóricos, se simula el funcionamiento del circuito en el programa Proteus, analizando el buen funcionamiento de este, para cada uno de los bloques que se han definido para la realización del proyecto. Los resultados obtenidos se presentan mediante un video publicado en un gestor de video en línea.

Este trabajo pretende utilizar conceptos de lógica secuencial y básica en conjunto con la teoría de mapas de Karnaugh, diseño de máquina de estados y programación VHDL para abordar el diseño de un secuenciador utilizando flip flop, compuertas digitales y generadores de señal como el circuito 555.

OBJETIVOS

Dar solución al siguiente problema: Diseñar un circuito secuencial que permita en un display de siete segmentos la secuencia de los siguientes números de forma cíclica. 0 – 2 – 4 – 6 – 8 – 10 – 12 – 14 – 16 – 18 – 20 – 19 - 17 - 15 – 13 – 11 – 9 –

18

Page 19: Documents.mx Grupo90178 8 Proyecto Carro

7 – 5 – 3 - 1. Con un temporizador C555 con una frecuencia de oscilación de dos (2) segundos.

Conocer y aprender a manejar las diferentes compuertas y sistemas combinacionales, sus utilidades y aplicaciones para ser implementadas en el presente trabajo.

Implementar la Temática básica de registros de Sistemas Digitales Secuenciales para la realización del modelo de la visualización en los display siete segmentos, usando un temporizador 555 en modo astable.

Utilizar el programa “proteus”, para simular el circuito analizando cada una de sus partes y publicar los resultados obtenidos en un gestor de videos.

DIAGRAMAS DE ESTADOSe emplea el componente de unidad de tiempo “CI 555”, “Flip-Flops J-K”, display de siete segmentos, resistencias, condensadores y compuertas lógicas, se analiza el diagrama de

19

Page 20: Documents.mx Grupo90178 8 Proyecto Carro

tiempo 555 que sigue la secuencia binaria normal, que requiere contadores binarios de cero (0) a uno (1), por lo cual se realizará un circuito con contador. El diagrama de estado muestra la secuencia aplicada y como avanza. De acuerdo al número de estados que para por el contador que son veintiuno (21).

Tabla de estados

Estado Actual Estado Futuro

Q4 Q3 Q2 Q1 Q0 Q4 Q3 Q2 Q1 Q0

0 0 0 0 0 0 0 0 0 1 0

2 0 0 0 1 0 0 0 1 0 0

4 0 0 1 0 0 0 0 1 1 0

6 0 0 1 1 0 0 1 0 0 0

8 0 1 0 0 0 0 1 0 1 0

10 0 1 0 1 0 0 1 1 0 0

12 0 1 1 0 0 0 1 1 1 0

14 0 1 1 1 0 1 0 0 0 0

16 1 0 0 0 0 1 0 0 1 0

18 1 0 0 1 0 1 0 1 0 0

20 1 0 1 0 0 1 0 0 1 1

19 1 0 0 1 1 1 0 0 0 1

17 1 0 0 0 1 0 1 1 1 1

15 0 1 1 1 1 0 1 1 0 1

13 0 1 1 0 1 0 1 0 1 1

11 0 1 0 1 1 0 1 0 0 1

9 0 1 0 0 1 0 0 1 1 1

7 0 0 1 1 1 0 0 1 0 1

5 0 0 1 0 1 0 0 0 1 1

3 0 0 0 1 1 0 0 0 0 1

1 0 0 0 0 1 0 0 0 0 0

Tabla de Verdad

Usando Flip Flop JK con las siguientes salidas:

20

Page 21: Documents.mx Grupo90178 8 Proyecto Carro

Q4 Q3 Q2 Q1 Q0 Q4 Q3 Q2 Q1 Q0 J K J K J K J K J K

0 0 0 0 0 0 0 0 0 1 0 0 X 1 X 0 X 0 X 0 X2 0 0 0 1 0 0 0 1 0 0 0 X X 1 1 X 0 X 0 X4 0 0 1 0 0 0 0 1 1 0 0 X 1 X X 0 0 X 0 X6 0 0 1 1 0 0 1 0 0 0 0 X X 1 X 1 1 X 0 X8 0 1 0 0 0 0 1 0 1 0 0 X 1 X 0 X X 0 0 X

10 0 1 0 1 0 0 1 1 0 0 0 X X 1 1 X X 0 0 X12 0 1 1 0 0 0 1 1 1 0 0 X 1 X X 0 X 0 0 X14 0 1 1 1 0 1 0 0 0 0 0 X X 1 X 1 X 1 1 X16 1 0 0 0 0 1 0 0 1 0 0 X 1 X 0 X 0 X X 018 1 0 0 1 0 1 0 1 0 0 0 X X 1 1 X 0 X X 020 1 0 1 0 0 1 0 0 1 1 1 X 1 X X 1 0 X X 019 1 0 0 1 1 1 0 0 0 1 X 0 X 1 0 X 0 X X 017 1 0 0 0 1 0 1 1 1 1 X 0 1 X 1 X 1 X X 115 0 1 1 1 1 0 1 1 0 1 X 0 X 1 X 0 X 0 0 X13 0 1 1 0 1 0 1 0 1 1 X 0 1 X X 1 X 0 0 X11 0 1 0 1 1 0 1 0 0 1 X 0 X 1 0 X X 0 0 X9 0 1 0 0 1 0 0 1 1 1 X 0 1 X 1 X X 1 0 X7 0 0 1 1 1 0 0 1 0 1 X 0 X 1 X 0 0 X 0 X5 0 0 1 0 1 0 0 0 1 1 X 0 1 X X 1 0 X 0 X3 0 0 0 1 1 0 0 0 0 1 X 0 X 1 0 X 0 X 0 X1 0 0 0 0 1 0 0 0 0 0 X 1 0 X 0 X 0 X 0 X

AEstado Actual Estado Futuro E D C B

Mapa de Karnaugh patrón

DEFABC

0 20 1 192 18 3 174 16 5 156 14 7 138 12 9 1110 X X XX X X XX X X X

00 01 10 11

000

111

001010011100101110

Tabla de Excitación Flop Flop JK “E”

21

Page 22: Documents.mx Grupo90178 8 Proyecto Carro

DEF DEFABC ABC

0 x x 0 x 1 0 x0 x x 0 x 0 0 x0 x x 0 x 0 0 x0 x x 0 x 0 0 x0 x x 0 x 0 0 x1 x x x x x x xx x x x x x x xx x x x x x x x

J = A.C K = A" B" C" D"

00 01 11 10

111110

00 01 11 10

000001011010

000001011010100101

100101111110

Tabla de Excitación Flop Flop JK “D”DEF DEF

ABC ABC1 0 x x x x 1 11 1 x x x x 1 11 1 x x x x 1 11 1 x x x x 1 11 1 x x x x 1 11 x x x x x x xx x x x x x x xx x x x x x x x

J = E" + C + B + A K = 1

011

11 10 11

110

00 01

101111110

00 01

000001011010

10

000001

010100100

101111

Tabla de Excitación Flop Flop JK “C”DEF DEF

ABC ABC0 0 0 1 x x x xx x x x 0 1 0 1x x x x 0 1 0 10 1 0 1 x x x x0 1 0 1 x x x xx 0 0 0 1 x x x0 0 0 0 x x x x0 0 0 0 x x x x

J = D.E" + B.D".E + A.D".E K = A + D".E + D.E"

10

000001

011010100101111110

00 01 11 10

000001

011010100101111110

00 01 11

Tabla de Excitación Flop Flop JK “B”DEF DEF

ABC ABC0 0 0 0 x x x x0 0 0 1 x x x xx x x x 0 0 0 1x x x x 0 1 0 00 1 0 0 x x x x0 x x x x x x xx x x x x x x xx x x x x x x x

J = C.D.E" + A.D".E K = C".D".E + C.D.E"

10

000001

011010100101111110

00 01 11 10

000001

011010100101111110

00 01 11

Tabla de Excitación Flop Flop JK “A”DEF DEF

ABC ABC0 0 0 0 x x x x0 0 0 0 x x x x0 0 0 1 x x x x0 0 0 0 x x x xx x x x 0 1 0 0x x x x 0 x x xx x x x x x x xx x x x x x x x

J = B.C.D.E" K = D".E

10

000001

011010100101111110

00 01 11 10

000001

011010100101111110

00 01 11

22

Page 23: Documents.mx Grupo90178 8 Proyecto Carro

Multivibrador Astable

Este tipo de funcionamiento se caracteriza por una salida continua de forma de onda cuadrada (o rectangular), con una frecuencia especifica. El resistor R1 está conectado a la tensión designada como VCC y al pin de descarga (pin 7); el resistor R2 se encuentra conectado entre el pin de descarga (pin 7), el pin de disparo (pin 2); el pin 6 y el pin 2 comparten el mismo nodo. Asimismo el condensador se carga a través de R1 y R2, y se descarga solo a través de R2. La señal de salida tiene un nivel alto por un tiempo t1 y un nivel bajo por un tiempo t2, esto debido a que el pin 7 presenta una baja impedancia a GND durante los pulsos bajos del ciclo de trabajo.

La frecuencia de oscilación (f) está dada por la fórmula:

, con

Circuito 555 en modo Astable (en Proteus)

Con: C= 100uFT= 2 seg

R2=T 2

0.7∗C

R2= 20.7∗100uF

=28,57 KΩ

R1=560Ω

23

Page 24: Documents.mx Grupo90178 8 Proyecto Carro

BAT15V

R4

DC 7

Q 3

GND

1VC

C8

TR2 TH 6

CV5

U1

555

R1560

R228k

D1LED-RED

R3120

RST

CLKCE

C10.01u

C2

100uF

CIRCUITOS INTEGRADOS A IMPLEMENTAR

Compuerta AND 7408. Compuerta NOT 7404

Compuerta OR 7432 Flip-Flop 74LS76

http://www.profesormolina.com.ar/electronica/componentes/int/comp_log.htm

24

Page 25: Documents.mx Grupo90178 8 Proyecto Carro

25

Page 26: Documents.mx Grupo90178 8 Proyecto Carro

26

Page 27: Documents.mx Grupo90178 8 Proyecto Carro

DIAGRAMA EN PROTEUS

27

Page 28: Documents.mx Grupo90178 8 Proyecto Carro

CIRCUITO EN PROTEUS

BAT1

5V

R4

DC 7

Q 3

GN

D1

VC

C8

TR2 TH 6

CV5

U1

555

R1560

R228k

D1LED-RED

R3120

RST

CLKCE

C1

0.01u

C2

100uF

SIETE SEGMENTOS

JILVER CABALLERO

A7 QA 13

B1 QB 12

C2 QC 11

D6 QD 10

BI/RBO4 QE 9

RBI5 QF 15

LT3 QG 14

U15

74LS47

R5120

J14 Q 12

CLK1

K3 Q 13

R2

U20:A

7473

J14 Q 12

CLK1

K3 Q 13

R2

U16:A

7473

J7 Q 9

CLK5

K10 Q 8

R6

U16:B7473

J7 Q 9

CLK5

K10 Q 8

R6

U20:B

7473

A7 QA 13

B1 QB 12

C2 QC 11

D6 QD 10

BI/RBO4 QE 9

RBI5 QF 15

LT3 QG 14

U2

74LS47

J14 Q 12

CLK1

K3 Q 13

R2

U3:A7473

1 23

U4:A

74LS08

2 3 4 5

1

U6:A

4072

4 56

U4:B

74LS08

1 2 1312

U8:A

7411

3 4 56

U8:B

7411

91 2 8

U7:A

4075

ABCDE

63 4 5

U7:B

4075

9 108

U4:C

74LS08

12 1311

U4:D

74LS08

1 23

U9:A

74LS32

1 2 1312

U10:A

74LS11

3 4 56

U10:B

74LS11

4 56

U9:B

74LS32

9 10 118

U10:C

74LS11

1 2 1312

U11:A

74LS11

1 23

U12:A

74LS08

A

B

C

D

E

1 2 4 5

6

U13:A

74LS21

9 10 12 13

8

U13:B

74LS21

LINK DE VIDEO

Jose Cordoba https://www.youtube.com/watch?v=1_nmkl23wa

28

Page 29: Documents.mx Grupo90178 8 Proyecto Carro

CONCLUSIONES

En el diseño de sistemas digitales pueden existir diferentes soluciones, una buena comprensión inicial del problema y de los elementos o circuitos electrónicos digitales nos permiten generar soluciones, más sencillas y eficientes.

Los mapas de Karnaugh son una herramienta muy poderosa de simplificación, aunque su dificultad aumenta con el número de variables, por lo cual es necesario tener precaución al momento de realizar el análisis.

Los flip-flop tipo JK son dispositivos muy adecuados para el diseño de contadores o generadores de secuencias.

El diseño con circuitos digitales elementales permite una mayor fluidez al realizar el diseño en VHDL, ya que se tiene una idea exacta de que componentes debe tener el diseño y qué función desempeñan.

VHDL es una herramienta de diseño digital que permite diseñar circuitos de gran capacidad de procesamiento en cuanto a número de entradas, y permite ser armado con bloques de código simples y elementales, como compuertas AND, OR contadores, flip-floptipo J, D, etc

29

Page 30: Documents.mx Grupo90178 8 Proyecto Carro

BIBLIOGRAFÍA

Csgnetwork. Astable 555 Square Wave Calculator. Consultado el 25 de abril de 2016 de: http://www.csgnetwork.com/ne555timer2calc.html

Profesor Molina. Compuertas Lógicas. Consultado el 30 de abril de 2016 de: http://www.profesormolina.com.ar/electronica/componentes/int/comp_log.htm

30