Decodificadores trabajo

16
República Bolivariana de Venezuela Ministerio del Poder Popular para las Comunas y Protección Socialista Instituto Nacional de Capacitación y Educación Socialista Cumaná Estado Sucre Integrantes José Luís Otero M. CI. 17445400

Transcript of Decodificadores trabajo

Page 1: Decodificadores trabajo

República Bolivariana de Venezuela

Ministerio del Poder Popular para las Comunas y Protección Socialista

Instituto Nacional de Capacitación y Educación Socialista

Cumaná Estado Sucre

Integrantes

José Luís Otero M.

CI. 17445400

José Luís Otero M.

CI. 17445400

Curso: PLC

Fecha: 25/05/2011

Page 2: Decodificadores trabajo

INTRODUCCIÓN

Los sistemas digitales obtienen datos de información codificados en

binarios que continuamente se utilizan en alguna forma determinada. Algunas de

las operaciones que se efectúan comprenden: decodificación y codificación,

multiplexación, demultiplexación, comparación, conversión de código y asignación

de canales de datos. Todas estas operaciones y otras se han facilitado por la

disponibilidad de numerosos IC en la categoría MSI (Integración de Media Escala).

En esta investigación solo estudiaremos los Decodificadores. Para ello se

hará un breve análisis del correspondiente principio básico de operación y luego

se presentarán IC específicos haciendo énfasis en el decodificador de BCD a siete

segmentos. Dado a su importancia en este curso.

Page 3: Decodificadores trabajo

DECODIFICADORES

Un decodificador es un circuito lógico que acepta un conjunto de entradas que representan números binarios y que activan solamente la salida que corresponde a dicho dato de entrada. En otras palabras, mira a sus entradas, determina que número binario está presente y activa la salida correspondiente a dicho número.

El diagrama para un decodificador general lo muestra la figura 1 con N entradas y M salidas. Debido a que cada una de las N entrada puede ser 0 o bien 1, hay 2N posibles combinaciones o códigos de entrada. Para cada una de estas combinaciones de entrada solo una de las M salidas será activa (ALTA); todas las otras son BAJAS. Muchos decodificadores están diseñados para producir salidas activas en BAJO, donde solamente la salida seleccionada es BAJA, en tanto que todas las otras son ALTAS. Esto se indicará por la presencia de pequeños círculos en las líneas de la salida del diagrama del decodificador.

Figura 1. Diagrama general de un decodificador

Algunos decodificadores no usan todos los 2N códigos posibles de entrada, sino sólo algunos de ellos. Por ejemplo, un decodificador BCD a DECIMAL, tiene un código de entrada de 4 bits, el cual sólo usa diez grupos codificados BCD, 0000 hasta 1001. Algunos de estos decodificadores se diseñan de tal manera, que si cualquiera de los códigos no usados se aplican a la entrada, ninguna de las salidas se activará.

La Figura 2, muestra la circuitería para un decodificador con 3 entradas y 23=8 salidas. Este hace uso de todas compuertas AND, de modo que las salidas

Page 4: Decodificadores trabajo

son activas en ALTO. Para salidas activas en BAJO, se utilizaran compuertas NAND.

Este decodificador se puede denominar de distintas maneras. Puede llamarse decodificador de 3 líneas a 8 líneas, ya que tiene tres líneas de entrada y ocho de salida. También se le podría denominar decodificador de binario a octal o convertidor de binario a octal, debido a que toma un código binario de entrada binario de tres entradas de tres bits y activa una de las ocho salidas (octal) correspondientes a ese código. También se le conoce como decodificador 1 de 8, ya que solo una de las 8 salidas se activa a la vez.

Figura 2. Decodificador binario a octal

Un ejemplo de un decodificador de binario a octal es el IC 74LS138. La figura 3 muestra el diagrama lógico del decodificador 74LS138 tal y como aparece en el Manual de Datos de IC TTL de Fairchild.

Page 5: Decodificadores trabajo

Figura 3. (a) Diagrama lógico del decodificador 74LS138 (b) Tabla de verdad (c) Símbolo Lógico

Otro tipo de estos dispositivos es el Decodificador de BCD a decimal. La figura 4 muestra el diagrama lógico de un decodificador 7442 de BCD a decimal. El circuito también se consigue como 74LS42 y 74HC42. Cada salida cambia hacia el nivel BAJO sólo cuando se aplica su correspondiente entrada BCD. Este decodificador no tiene entrada de habilitación, pero se puede emplear como el decodificador de 3 a 8 empleando para ello la entrada D como entrada de habilitación.

Page 6: Decodificadores trabajo

Figura 4. (a) Diagrama lógico de un decodificador 7442 de BCD a decimal (b) Símbolo lógico (c) Tabla de verdad

El TTL 7445 es un Decodificador/Manejador de BCD a decimal. El término “Manejador” se añade a las descripción del IC por este tiene salidas de colector abierto que pueden trabajar con corrientes y voltajes mayores que los limites de una salida TTL común. Las salidas del 7445 pueden consumir hasta 80mA en el estado BAJO y ser llevadas hasta 30 voltios en el estado ALTO. Estas características las hacen adecuadas para manejar de manera directa cargas tales como indicadores de LED o lámparas, relevadoreso motores de DC.

La Aplicación de los decodificadores radica en que se puede emplear todas las veces que es necesario activar una salida o grupo de éstas cuando se presenta una combinación específica de niveles de entrada. Estos niveles frecuentemente son proporcionados por las salidas de un contador o registro. Cuando las entradas del decodificador provienen de un contador al que llegan pulsos de manera continua, las salidas del decodificador se activan en forma

Page 7: Decodificadores trabajo

secuencial y se pueden emplear para temporizar o poner señales en secuencia para apagar y encender dispositivos en instantes específicos. Por ejemplo, el contador 74LS293 y el decodificador/manejador 7445 se emplean de la manera antes descrita.

DECODIFICADORES BCD A 7 SEGMENTOS

El decodificador de BCD a siete segmentos es un circuito combinacional que permite un código BCD en sus entradas y en sus salidas activa un display de 7 segmentos para indicar un dígito decimal.

El display está formado por un conjunto de 7 leds conectados en un punto común en su salida. Cuando la salida es común en los ánodos, el display es llamado de ánodo común y por el contrario, sí la salida es común en los cátodos, llamamos al display de cátodo común. En la figura 5 se muestran ambos tipos de dispositivos. En el display de cátodo común, una señal alta encenderá el segmento excitado por la señal. La alimentación de cierta combinación de leds, dará una imagen visual de un dígito de 0 a 9.

Figura 5. Display de ánodo común y cátodo común

Decodificador de BCD a Siete Segmentos

El decodificador requiere de una entrada en código decimal binario BCD y siete salidas conectadas a cada segmento del display. La figura 6 representa en un diagrama de bloques el decodificador de BCD a 7 segmentos con un display de cátodo común.

Page 8: Decodificadores trabajo

Figura 6. Diagrama de bloques de un decodificador BCD a siete segmentos

Suponiendo que el visualizador es un display de cátodo común, se obtiene una tabla cuyas entradas en código BCD corresponden a A, B, C y D y unas salidas correspondientes a los leds que se encenderían en cada caso para indicar el dígito decimal. La tabla 1 muestra el caso de ejemplo.

Valor decimal

Entradas Salidas A B C D a b c d e f g

0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 0 2 0 0 1 0 1 1 0 1 1 0 1 3 0 0 1 1 1 1 1 1 0 0 1 4 0 1 0 0 0 1 1 0 0 1 1 5 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 1 0 1 1 1 1 1 7 0 1 1 1 1 1 1 0 0 0 0 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 0 0 1 1 10 1 0 1 0 X X X X X X X ... .. .. .. .. X X X X X X X 15 1 1 1 1 X X X X X X X

Tabla 1. Tabla de verdad del decodificador BCD a siete segmentos.

Los valores binarios 1010 a 1111 en BCD nunca se presentan, entonces las salidas se tratan como condiciones de no importa.

La simplificación de la información contenida en la tabla 1. requiere de siete tablas de verdad, que se pueden separar para cada segmento. Por consiguiente, un 1 en la columna indica la activación del segmento y varios de estos segmentos activados indican visualmente el número decimal requerido.

Page 9: Decodificadores trabajo

Según la información de la tabla de verdad, se puede obtener la expresión para cada segmento en suma de productos o producto de sumas según la cantidad de unos y ceros presentes.

Salida a

En la columna a existen 3 ceros y 7 unos, entonces es más fácil obtener la función PDS:

a = (A+B+C+D’)·(A+B’+C+D)= A + D·(B+C) + B’·(D’+C) = A + A·B’ + A·C + A·D + B·A + B·C + B·D + C·A + C·B’+ C + C·D + D’·A + D’·B’ + D’·C

a = A + (A·B’+B·A)+(A·C+C·A)+ (A·D+D’·A)+( B·C+C·B’) + B·D + C + (C·D+D’·C) + D’·B’ = A + A +A·C + A+ C + B·D + C + C + D’·B’ = A + A.C + C + B·D + D’·B’

a = A + C + (B D)’

Figura 7. Circuito para la salida a del decodificador BCD a siete segmentos

Salida c

En la columna de la salida c se tiene un solo 0, entonces se emplea el PDS:

c = (A + B + C’ + D)

Figura 8. Circuito para la salida c del decodificador BCD a siete segmentos

Salida e

La columna correspondiente a esta salida tiene 4 unos y 5 ceros. Es mejor utilizar la representación SDP:

e = (A’·B’·C’·D’) + (A’·B’·C·D’) + (A’·B·C·D’) + (A·B’·C’·D’) ;factorizando el primer término con el cuarto y el segundo con el tercero:

e = B’·C’·D’ + A’·C·D’ = D’·(B’·C’+ A’·C)

Page 10: Decodificadores trabajo

Figura 10. Circuito para la salida e del decodificador BCD a siete segmentos

El resto de salidas se obtiene por las mismas deducciones anteriores.

Circuito integrado 7447

El decodificador 7447 es un circuito lógico que acepta un conjunto de entradas que representan números binarios y que activa solamente la salida que corresponde a dicho dato de entrada. En un decodificador, dependiendo de la combinación en sus entradas se determina qué número binario (combinación) se presenta a la salida correspondiente a dicho número, mientras tanto todas las otras salidas permanecerán inactivas Este decodificador sirve para mostrar salidas decimales a entradas binarias. Las entradas pueden estar dadas por cualquier dispositivo que tenga 4 salidas digitales como la computadora, un micro, o Simplemente utilizando switches para conmutar los unos y ceros.

Figura 11. Esquema del decodificador 7447

Tabla 2. Asignación de los pines del decodificador 7447 (Pin-out)

Page 11: Decodificadores trabajo

Estúdio del IC 7447, decodificador BCD-7 Segmentos

Muchas presentaciones numéricas en dispositivos de visualización utilizan una configuración de 7 segmentos para formar los caracteres decimales de 0 a 9 y algunas veces los caracteres hexadecimales de A a F. Cada segmento está hecho de un material que emite luz (Display) cuando pasa corriente a través de él, los patrones de segmentos que sirven para presentar los diversos dígitos.

El decodificador 7447 está diseñado para activar segmentos específicos, aun de códigos de entrada mayores que 1001 (9). La figura Nº 2 muestra las representaciones para los códigos desde 0000 hasta 1111. Note que un código de entrada de 1111 borrará todos los segmentos.

Figura 12. Modelos de segmentos para todos los posibles códigos de entrada.

Figura 13. (a) Tabla de verdad del decodificador 7447 (b) El símbolo lógico

(a) (b)

Page 12: Decodificadores trabajo

CONCLUSIONES

En el tema de los decodificadores vimos en qué consistía un decodificador,

es decir, explicamos cómo pasar una información utilizada usualmente a una

forma codificada que pueda entender nuestro otro dispositivo.

En la actualidad, se utilizan normalmente una serie de dispositivos de

representación visual fabricados a base de siete segmentos o barras

independientes, mediante las cuales se pueden presentar los dígitos decimales.

Estos segmentos pueden ser cristales líquidos, diodos LED, etc. Para excitar a

estos dispositivos se han desarrollado toda una gama de decodificadores que

reciben la información, procedente de un ordenador o de un aparato de medida,

en código BCD y entregan siete salidas preparadas para alimentar los siete

segmentos que componen cada dígito decimal. Veamos la estructura de un

decodificador excitador BCD-7 segmentos de los más sencillos.