Capitulo 5 - Circuitos Secuenciales

14
CLUB SABER ELECTRÓNICA 67 Introducción Sabemos que los Flip-flops son los elementos de memoria básicos y que a partir de ellos es po- sible construir otros dispositivos, tales como re- gistros de desplazamiento y contadores. Los con- tadores binarios difieren de los registros de des- plazamiento en que sus Flip-flops están conecta- dos entre sí de manera diferente. La función de un contador es dar salida a la información según una secuencia preestablecida con anterioridad. La ma- yoría de los contadores operan con código binario (dan salida de información en 8421, exceso 3, o algún otro), pero diseñando un circuito mediante una lógica de interconexión puede obtener cual- quier configuración arbitraria de salida. Los contadores se emplean normalmente como circuitos básicos en otros sistemas lógicos, ya sea para computación, controles industriales, medi- ción de intervalos, etc. Existen distintos tipos de contadores, los cuales se construyen utilizando Flip-flops JK, T, RS o D. Los podemos clasificar en tres grupos fundamentales: Contadores Asíncronos, llamados también contadores serie. En estos dispositivos, todos los Flip-flops que lo integran cambian de estado si- multáneamente; los pulsos de reloj se aplican a to- dos los Flip-flops al mismo tiempo. Esto hace que, si hay algún cambio, se produz- ca en sincronía en todos los Flip-flops. Contadores Síncronos, llamados también contadores paralelos. En este caso, los pulsos a contar se aplican a la entrada de alguno de los Flip-flops (generalmente, el primero). Los cam- bios en los Flip-flops no se realizan en todos al mismo tiempo, debido a que aquéllos a los cuales no llegan directamente los pulsos van a cambiar de estado, si la salida de algún otro Flip-flop cam- bia de estado; es decir, el cambio de estado de un Flip-flop acciona un segundo Flip-flop, el cual puede después accionar un tercero, luego un cuar- to y así sucesivamente. Contadores en Anillos. Un contador en anillo es simplemente un registro de desplazamiento de rotación; es decir: un registro cuya salida está co- nectada a su entrada. Los contadores pueden efectuar la secuencia en sentido creciente, en sentido decreciente o en ambos sentidos y en cualquier orden. El número de estados sucesivos a través de los cuales un de- terminado contador realiza una secuencia antes de que repita nuevamente se denomina módulo. Los contadores de módulo 2 n (2, 4, 8, 16 o al- guna otra potencia de 2) son los más fáciles de construir. Si n = 2 tenemos 4 estados, 0 a 3. La cantidad n determina el número de Flip-flops que tendrá el circuito. En forma general, un contador es un circuito que realiza una secuencia a través de m estados di- ferentes en un orden especial, siendo m el módulo del contador. El contador cambia de un estado a otro mediante la señal de reloj. El contador asíncrono requiere menos elemen- tos para su funciomiento que el síncrono. Tiene la desventaja de que es más lento, ya que antes de aplicar a su entrada un nuevo pulso de reloj es ne- cesario que todos los Flip-flops hayan cambiado de estado. Por el contrario, el contador síncrono, si bien es más complejo, es más veloz; se puede usar a mayor frecuencia. Contadores Asíncronos Como ya hemos explicado, en estos dispositi- vos, los pulsos a contar se reciben sólo en el pri- mer Flip-flop (el que almacena el bit menos signi- ficativo) y los Flip-flops siguientes son comanda- dos por el Flip-flop que lo precede en orden. Para que podamos comprender el funciona- miento, en la figura 1 se da el circuito de un con- tador de módulo 16 que, por supuesto, tendrá cua- tro Flip-flops. En dicha figura se tiene que: LSB - Bit menos significativo. MSB - Bit más significativo. Cada Flip-flop de este circuito es disparado por los flancos negativos de los pulsos de reloj. D ISEÑO DE C IRCUITOS S ECUENCIALES

Transcript of Capitulo 5 - Circuitos Secuenciales

Page 1: Capitulo 5 - Circuitos Secuenciales

CLUB SABER ELECTRÓNICA 67

Introducción

Sabemos que los Flip-flops son los elementosde memoria básicos y que a partir de ellos es po-sible construir otros dispositivos, tales como re-gistros de desplazamiento y contadores. Los con-tadores binarios difieren de los registros de des-plazamiento en que sus Flip-flops están conecta-dos entre sí de manera diferente. La función de uncontador es dar salida a la información según unasecuencia preestablecida con anterioridad. La ma-yoría de los contadores operan con código binario(dan salida de información en 8421, exceso 3, oalgún otro), pero diseñando un circuito medianteuna lógica de interconexión puede obtener cual-quier configuración arbitraria de salida.

Los contadores se emplean normalmente comocircuitos básicos en otros sistemas lógicos, ya seapara computación, controles industriales, medi-ción de intervalos, etc. Existen distintos tipos decontadores, los cuales se construyen utilizandoFlip-flops JK, T, RS o D. Los podemos clasificaren tres grupos fundamentales:

Contadores Asíncronos, llamados tambiéncontadores serie. En estos dispositivos, todos losFlip-flops que lo integran cambian de estado si-multáneamente; los pulsos de reloj se aplican a to-dos los Flip-flops al mismo tiempo.

Esto hace que, si hay algún cambio, se produz-ca en sincronía en todos los Flip-flops.

Contadores Síncronos, llamados tambiéncontadores paralelos. En este caso, los pulsos acontar se aplican a la entrada de alguno de losFlip-flops (generalmente, el primero). Los cam-bios en los Flip-flops no se realizan en todos almismo tiempo, debido a que aquéllos a los cualesno llegan directamente los pulsos van a cambiarde estado, si la salida de algún otro Flip-flop cam-bia de estado; es decir, el cambio de estado de unFlip-flop acciona un segundo Flip-flop, el cualpuede después accionar un tercero, luego un cuar-to y así sucesivamente.

Contadores en Anillos. Un contador en anillo

es simplemente un registro de desplazamiento derotación; es decir: un registro cuya salida está co-nectada a su entrada.

Los contadores pueden efectuar la secuenciaen sentido creciente, en sentido decreciente o enambos sentidos y en cualquier orden. El númerode estados sucesivos a través de los cuales un de-terminado contador realiza una secuencia antes deque repita nuevamente se denomina módulo.

Los contadores de módulo 2n (2, 4, 8, 16 o al-guna otra potencia de 2) son los más fáciles deconstruir. Si n = 2 tenemos 4 estados, 0 a 3. Lacantidad n determina el número de Flip-flops quetendrá el circuito.

En forma general, un contador es un circuitoque realiza una secuencia a través de m estados di-ferentes en un orden especial, siendo m el módulodel contador. El contador cambia de un estado aotro mediante la señal de reloj.

El contador asíncrono requiere menos elemen-tos para su funciomiento que el síncrono. Tiene ladesventaja de que es más lento, ya que antes deaplicar a su entrada un nuevo pulso de reloj es ne-cesario que todos los Flip-flops hayan cambiadode estado. Por el contrario, el contador síncrono, sibien es más complejo, es más veloz; se puede usara mayor frecuencia.

Contadores Asíncronos

Como ya hemos explicado, en estos dispositi-vos, los pulsos a contar se reciben sólo en el pri-mer Flip-flop (el que almacena el bit menos signi-ficativo) y los Flip-flops siguientes son comanda-dos por el Flip-flop que lo precede en orden.

Para que podamos comprender el funciona-miento, en la figura 1 se da el circuito de un con-tador de módulo 16 que, por supuesto, tendrá cua-tro Flip-flops. En dicha figura se tiene que:

LSB - Bit menos significativo.MSB - Bit más significativo.

Cada Flip-flop de este circuito es disparado porlos flancos negativos de los pulsos de reloj.

DISEÑO DE

CIRCUITOS SECUENCIALES

Page 2: Capitulo 5 - Circuitos Secuenciales

TÉCNICAS DIGITALES

68 CLUB SABER ELECTRÓNICA

Los biestables utilizados sonFlip-flop J-K con sus entradasunidas, lo que arroja como resul-tado Flip-flops tipo T disparadospor flancos negativos. Si tene-mos en cuenta la tabla de verdadde un Flip-flop T.

–––––––––––––T Q+1

–––––––––––––1 Q0 Q

–––––––––––––

Se deduce que, si T está conun nivel bajo, la salida no cam-bia; si está con un nivel alto, la salida cambiacuando se produce un flanco negativo de la señalde reloj.

En el circuito de la figura 1, al estar todas lasentradas J-K en un nivel "1" lógico, significa quecada vez que se produce un flanco negativo de re-loj, el Flip-flop cambia de estado.

La salida del FF1 dispara el segundo (FF2) porsu entrada de reloj; la salida de FF2 dispara a FF3y la salida de FF3, a su vez, dispara FF4. Tene-mos, entonces, que un pulso aplicado a la entradade reloj del FF1 se propagará de un Flip-flop aotro hasta que llegue al último de la serie. Por es-te motivo, a este tipo de contadores también se losllama contadores serie.

La tabla de verdad que grafica el funciona-miento detallado es la siguiente:

––––––––––––––––––––––––––––––––––––MSB LSB VALOR

8 4 2 1 DECIMAL––––––––––––––––––––––––––––––––––––

0 0 0 0 00 0 0 1 10 0 1 0 20 0 1 1 30 1 0 0 40 1 0 1 50 1 1 0 60 1 1 1 71 0 0 0 81 0 0 1 91 0 1 0 101 0 1 1 111 1 0 0 121 1 0 1 131 1 1 0 141 1 1 1 15

En la tabla dada, que corresponde a un códigobinario (de peso 8421) vemos que, para realizarlacon un circuito secuencial, el segundo Flip-flop(FF2) debe cambiar cuando el primero pasa de 1 a0 (flanco negativo).

- El FF3 cambia cuando el FF2 pasa de 1 a 0.- El FF4 cambia cuando el FF3 cambia de 1 a 0.

En el circuito lógico esto está contemplado de-bido a que la salida Q de cada Flip-flop está co-nectada a la entrada de reloj del siguiente Flip-flop; dicho de otra manera: es la mitad de la delFlip-flop anterior.

En la figura 2 se da el diagrama de tiempos co-rrespondiente a este contador.

Es importante notar que los requisitos de velo-cidad de conteo no son los mismos para los cuatroFlip-flops.

Así por ejemplo, si los pulsos a contar tienenuna frecuencia de 10MHz (0,1 microsegundos en-tre los pulsos de entrada), el FF1 debe poder ope-rar a 10MHz; en cambio, el FF2 operará a 5MHz,

Figura 1

Figura 2

Page 3: Capitulo 5 - Circuitos Secuenciales

DISEÑO DE CIRCUITOS SECUENCIALES

CLUB SABER ELECTRÓNICA 69

el FF3 a 2,5MHz y así sucesivamente. La etapa nque da el bit más significativo (MSB) operará unafrecuencia de:

fCKfn = ——————

2n-1

El esquema del contador visto tiene un conteoascendente. Si lo hacemos descendente (15 a 0),observando la tabla del código binario (de peso8421) vemos que el FF2cambia cuando el FF1 pasaa 0 a 1 (flanco positivo). ElFF3 cambia cuando el FF2pasa de 0 a 1. El FF4 cam-bia cuando el FF3 cambiade 0 a 1.

Como los Flip-flops delesquema que estamos anali-zando son disparados porflancos negativos, lo quehacemos en el contadordescendente es utilizar lasalida Q en lugar de la sali-

da Q. El contador se lee porQ; lo que cambia es que lospulsos que se aplican a lasentradas de reloj son toma-dos de la salida Q.En la figura 3 se ha dibujadoel diagrama lógico de uncontador descendente demódulo 16, cuyo diagramade tiempos se muestra en lafigura 4.En los diagramas de tiempoque estamos analizando, lassalidas de los Flip-flops sonlas salidas Q.Si bien podemos profundizaren el tema, continuaremoscon el análisis de los conta-dores sincrónicos para poderdar pautas de diseño de cir-cuitos secuenciales.

Contadores Síncronos

Estos contadores también sebasan en la utilización de los mismos Flip-flop J-K con las entradas unidas (Flip-flop T) que vimospara los asincrónicos. Su diferencia es que los pul-sos de reloj, que en definitiva son los que se debencontar, se aplican a todas las entradas de reloj enforma simultánea y, por lo tanto, todos los Flip-flops cambian de estado al mismo tiempo (de ma-nera síncrona).

En la figura 5 se da el circuito lógico de uncontador síncrono ascendente de módulo 16.

Las entradas J-K de los FF2, FF3 y FF4 están

Figura 3

Figura 4

Figura 5

Page 4: Capitulo 5 - Circuitos Secuenciales

TÉCNICAS DIGITALES

70 CLUB SABER ELECTRÓNICA

conectadas a las salidas Q del FF anterior que hayen la cadena del contador. Dicha unión se efectúaa través de compuertas AND. Por ejemplo, las en-tradas J-K del FF4 están conectadas a través deuna compuerta AND a las salidas Q de los FF3,FF2 y FF1, es decir, todos los Flip-flops anterioresal FF4. Esto significa que cada Flip-flop cambiaráde estado cuando la compuerta AND, que se apli-ca a las entradas J-K, tenga a su salida un "1", yesto se produce cuando las salidas Q de todos losFlip-flops anteriores de la cadena están en el esta-do lógico "1" (recordemos que en un Flip-flop T lasalida cambia de estado cuando en su entrada hayun nivel lógico alto).

Si recurrimos a la tabla del código binario (depeso 8421) podemos deducir que el FF1 debecambiar "siempre", por lo cual su entrada J-K de-be estar en "1".

- El FF2 cambia siempre que el FF1 esté en "1". - El FF3 cambia siempre que los FF2 y FF1 ten-

gan un "1" a su salida. - El FF4 cambia siempre que los FF3, FF2 y

FF1 tengan un "1" a su salida.

En forma análoga a lo que vimos en los conta-dores asíncronos, para realizar un contador des-cendente (15 a 0) se conectan las entradas J-K decualquier Flip-flop mediante compuertas AND ala salida Q de todos los Flip-flops que los prece-den.

Debido a que todos los Flip-flops reciben lospulsos de reloj al mismo tiempo y cambian de es-tado al mismo tiempo, el retardo total del contador(independientemente de la calidad de Flip-flopsque se empleen) es igual al tiempo de propagaciónde un solo Flip-flop (en el esquema anterior hayque considerar además el tiempo de propagaciónde la compuerta AND), lo que hace que estos dis-positivos sean más veloces.

La frecuencia máxima de reloj del contador es:

1fmáx. = ––––––––––––––––––––––––––––––

tp de un FF + tp de una compuerta

Si el tiempo tp de un FF y del contador poseelos siguientes valores:

- tp de un FF = 40 ns y - tp de una compuerta = 10 ns

1fmáx. = ––––––––––– = 20 MHz

50 ns

La frecuencia máxima será:

1fmáx. = ––––––––––––––––––––––

tp de un FF x n

donde: n = Cantidad de Flip-flops.

Por lo tanto, para el contador visto, que tienecuatro Flip-flops, y considerando también un tp =40 ns, la frecuencia máxima es:

1 1fmáx. = –––––––– = –––––––––– = 6,66 MHz

40 ns x 4 160 ns

Se deduce entonces que para los contadoressíncronos, la frecuencia máxima de trabajo es mu-cho mayor que la correspondiente a un contadorasincrónico. Además, todas las salidas cambian si-multáneamente.

Entre las desventajas, podemos decir que loscontadores asíncronos necesitan muchas máscompuertas lógicas y por lo tanto son más com-plejos. Además, si se observa el esquema del con-tador síncrono, se ve que la última compuertaAND tiene tres entradas. A medida que se agreganFlip-flops, como consecuencia de tener que contaruna palabra de mayor módulo, aumenta la canti-dad de compuertas AND y la cantidad de entradasde la última compuerta AND. Pero, si bien aumen-ta la complejidad del circuito, es importante des-tacar que la frecuencia máxima está dada siemprepor el tp de un sólo Flip-flop más el tp de unacompuerta.

En la figura 6 se da el esquema lógico de uncontador síncrono ascendente de módulo 128(conteo 0 a 127). Este contador sincrónico ascen-dente está constituido por siete Flip-flops y 5compuertas AND, donde la última de estas com-puertas posee 6 entradas.

En síntesis, debemos destacar lo siguiente:

- A medida que aumenta el módulo del conta-dor, aumenta la cantidad de Flip-flops y de com-puertas AND, como así también la cantidad de en-tradas de la última compuerta AND.

Page 5: Capitulo 5 - Circuitos Secuenciales

DISEÑO DE CIRCUITOS SECUENCIALES

CLUB SABER ELECTRÓNICA 71

Contadores Síncronos con Acarreo

Una forma de simplificar el circuito lógico deun contador sincrónico consiste en utilizar com-puertas conectadas en serie, entre las salidas y lasentradas J-K de cada Flip-flop.

En la figura 7 se da el esquema lógico simpli-ficado de un contador sincrónico ascendente demódulo 16 con transporte serie.

Este esquema corresponde a un contador sín-crono ascendente de módulo 16 con transporte se-rie. También suele llamarse contador sincrónicocon acarreo. Este contador sigue siendo síncronoen el sentido de que todos los Flip-flops cambiande estado al mismo tiempo, pero la conexión entrelas entradas J y K de cualquier Flip-flop y las sa-lidas Q de todas las anteriores se realiza mediantecompuertas AND que están en serie, en lugar deestar en paralelo como en el contador síncronovisto anteriormente.

Los distintos Flip-flops llevan compuertas

AND, excepto el primero y el último. La ventajacon respecto al contador síncrono con transporteparalelo radica en que el circuito es más simple,teniendo las compuertas AND menos entradas queen el caso paralelo.

El tiempo de propagación de las compuertasAND es acumulativo y la fmáx. se ve reducida,comparada con los contadores síncronos contransporte paralelo. Esto constituye una desventa-ja del transporte serie.

La frecuencia máxima de operación de estecontador se calcula como:

1fmáx. = ––––––––––––––––––––––––––––––––––

tp de un FF + tp de (n - 2) compuertas

donde n es la cantidad de Flip-flops.A medida que aumenta el módulo del contador,

aumenta el tp, y se ve limitada la ventaja con res-pecto a la velocidad de los contadores síncronos,

que disminuye respecto de losasíncronos.

Contador Síncrono Ascendente-Descendente

La figura 8 esquematiza un con-tador sincrónico ascendente-des-cendente con transporte serie.Las características de los conta-dores síncronos ascendentes y lasde los contadores síncronos des-cendentes se pueden combinar en

Figura 6

Figura 7

Page 6: Capitulo 5 - Circuitos Secuenciales

TÉCNICAS DIGITALES

72 CLUB SABER ELECTRÓNICA

un solo contador ascendente-descendente (up-down). Mediante una señal de control C, se selec-ciona si el conteo es ascendente o descendente. Lafunción de dicha señal es la siguiente:

Si C = 1 Se realiza una cuenta ascendente contransporte serie.

Si C = 0 La cuenta es descendente, tambiéncon transporte serie.

La frecuencia máxima se calcula:

1fmáx. = –––––––––––––––––––––––––––––––––––

tp de un FF + tp de una compuerta x 2 (n - 1)

donde n es la cantidad de Flip-flops.El circuito de la figura 8 corresponde a un con-

tador ascendente-descendente con transporte se-rie. El diagrama de un contador ascendente-des-cendente con transporte paralelo se muestra en lafigura 9. En este circuito, la función de la señal Ces la que describimos a continuación:

Figura 8

Figura 9

Page 7: Capitulo 5 - Circuitos Secuenciales

DISEÑO DE CIRCUITOS SECUENCIALES

CLUB SABER ELECTRÓNICA 73

Si C = 1, se efectúa la cuenta en forma ascendente.Si C = 0, la cuenta es descendente.

Diseño de Circuitos SecuencialesMapa de Karnaught

Cuando hablamos de diseñar circuitos especia-les, nos referimos a poder obtener un dispositivocapaz de efectuar cuentas con módulos distintos al8421, poder obtener una secuencia en anillo, con-tar con un dispositivo que efectúe una determina-da función cuando se sigue una secuencia, etc.

Para encarar las nociones de diseño, es necesa-rio recordar algunos conceptos:

- Un contador de módulo m es aquel que tienem estados diferentes; puede ser síncrono o asín-crono. Estos contadores contienen los circuitosnecesarios para controlar la cantidad m de estadosque se desea obtener. Por ejemplo, es muy utiliza-do el contador BCD natural (conteo de 0 a 9), cu-yo módulo es 10.

Este contador se realiza con cuatro Flip-flops yla lógica necesaria para que vuelva a "0", cuandoel conteo llegue a 9.

De la misma manera, podemos implementar eldiseño de un contador de módulo 12, que se reali-za con 4 Flip-flops y la lógica necesaria para que,cuando el conteo llegue a 12, vuelva a 0.

Si bien los contadores de códigos 8421 son losmás populares por ser los más sencillos de reali-zar, ya que utilizan un mínimo número de com-puertas para interconectar los Flip-flops, todos loscontadores síncronos y asíncronos pueden cons-truirse para contar en un código diferente al 8421.

La base de cualquier contador es una combina-ción adecuada de Flip-flops. La única diferenciaentre un contador binario de pesos 8421 y cual-quier otra secuencia está dada por la lógica utiliza-da en la interconexión de los Flip-flops.

Para la implementación de un contador de có-digo determinado, se debe diseñar la lógica de in-terconexión correspondiente, como veremos se-guidamente.

La estructura básica de un contador es la de unconjunto de Flip-flops interconectados.

Un Flip-flop es un circuito secuencial cuyafunción puede determinarse a través de la tabla deverdad. También puede darse su funcionamientoa través de la función o ecuación característica delFlip-flop y con el objeto de encarar el diseño de

nuevos contadores, vamos a "buscar" la ecuacióncaracterísticas de los Flip-flops vistos, comenzan-do por el R-S.

Obtención de la Ecuación Característica de un Flip-flop R-S

Para poder comprender el desarrollo que va-mos a efectuar, es necesario que el lector tenga co-nocimientos sobre simplificación de funcionesmediante "Mapa de Karnaugh".

No es objeto de esta obra dar una explicacióndetallada sobre el tema, dado que el mismo es ob-jeto de otras obras y no hace al concepto de estepunto. Sin embargo, haremos un análisis sencilloa los efectos de que el tema pueda comprenderseen su totalidad. Como primera medida, para la ob-tención de la función característica de un FF R-S,recordemos su tabla de verdad:

–––––––––––––––––––––––––––––––––––––S R Q Q+1––––––––––––––––––––––––––––––––––––– 0 0 0 0 NO CAMBIA0 0 1 1––––––––––––––––––––––––––––––––––––– 0 1 0 0 PONE UN "0"0 1 1 0––––––––––––––––––––––––––––––––––––– 1 0 0 1 PONE UN "1"1 0 1 1––––––––––––––––––––––––––––––––––––– 1 1 0 X NO PERMITIDO1 1 1 X––––––––––––––––––––––––––––––––––––– De esta tabla se deduce la ecuación caracterís-

tica. Para ello se representa esta tabla en un mapade Karnaugh y se agrupan todos los "1" corres-pondientes, tal como se muestra en la tabla 1.

Page 8: Capitulo 5 - Circuitos Secuenciales

TÉCNICAS DIGITALES

74 CLUB SABER ELECTRÓNICA

Del mapa de Karnaugh, si agrupamos los dos"1" verticales que corresponden a la columna "01"(R = 0 o R y Q = 1) y agrupamos los dos "1" y lasdos "X" horizontales que corresponden a la fila"1" (S = 1), surge que la ecuación característica es:

Q+1 = S + RQ

Las "X" las tomamos agrupadas junto con los"1" dado que es una combinación prohibida y nospermite obtener una ecuación simplificada.

La ecuación característica nos dice que el pró-ximo estado que toma la salida Q es un "1" cuan-do S = "1" o cuando R = 0 y Q = 1.

Función Característica del Flip-flop J-K

De la misma forma que antes, damos en primerlugar, la tabla de verdad del FF J-K:

–––––––––––––––––––––––––––––––––––J K Q Q+1––––––––––––––––––––––––––––––––––– 0 0 0 0 NO CAMBIA0 0 1 1––––––––––––––––––––––––––––––––––– 0 1 0 0 PONE UN "0"0 1 1 0––––––––––––––––––––––––––––––––––– 1 0 0 1 PONE UN "1"1 0 1 1––––––––––––––––––––––––––––––––––– 1 1 0 1 CAMBIA1 1 1 0–––––––––––––––––––––––––––––––––––

De la tabla de verdad, surge que la salida toma-rá el estado lógico "1" cuando:

J = 0, K = 0 y Q = 1 yJ = 1, K = 0 y Q = 0 yJ = 1, K = 0 y Q = 1 yJ = 1, K = 1 y Q = 0

Para encontrar la ecuación característica debe-rían transportarse al mapa de Karnaugh los "1", alos casilleros correspondientes, luego se los debeagrupar y obtener las combinaciones de los esta-dos agrupados. Luego, con dicha ecuación carac-terística se puede implementar la función que re-presenta al FF J-K con compuertas lógicas. Por lo

tanto, en la tabla 2, se han trasladado los "1" de di-cha tabla de verdad:

La ecuación característica es:

Q+1 = JQ + KQ

Esta ecuación nos dice que podemos obtenerun FF J-K a partir de tres compuertas; dos com-puertas AND con una entrada negada y una com-puerta OR, tal como se muestra en la figura 10.

Función Característica del Flip-flop T

La tabla de verdad de este FF es:

–––––––––––––––––––––––T Q Q+1––––––––––––––––––––––– 0 0 00 1 11 0 11 1 0–––––––––––––––––––––––

A simple vista se observa que en este caso nose pueden agrupar "1" con el objeto de simplificarla función y así utilizar pocas compuertas para eldiseño lógico. Sin embargo, a los fines didácticos,

Figura 10

Page 9: Capitulo 5 - Circuitos Secuenciales

DISEÑO DE CIRCUITOS SECUENCIALES

CLUB SABER ELECTRÓNICA 75

vamos a construir el mapa de Karnaugh tal cualcomo se observa en la tabla 3.

La ecuación característica es:

Q+1 = TQ + TQ = T ⊕⊕ Q

Esta ecuación nos dice que el próximo estadode la salida Q es un "1" cuando T = 1 AND Q = 0OR cuando T = 0 AND Q = 1

De la misma manera podemos conseguir laecuación característica de cualquier otro dispositi-vo, así por ejemplo, la ecuación característica delFF D es:

D = Q+1

Para diseñar un contador sincrónico, primerodebemos elegir qué tipo de FF vamos a utilizar yluego saber cuántos biestables serán necesarios,para ello se debe saber cuál es el módulo del con-tador, luego se debe cumplir que:

2n ≥ M

n: cantidad de Flip-flops.M: módulo del contador.Por ejemplo: si M = 10, entonces n = 4si M = 128, entonces n = 7

Una vez conocido el tip de FF y la cantidad ne-cesaria, se debe realizar la tabla de verdad del fun-cionamiento del contador, en la cual se muestra elprimer estado lógico que han de tomar los Flip-flops después de cada pulso y en función del esta-do actual del Flip-flop. Al alcanzar el estado co-rrespondiente a la máxima capacidad de conteo, elcontador vuelve al estado inicial.

Luego, a partir de los mapas de Karnaugh sededuce la ecuación de cada Flip-flop.

Por último, se compara la ecuación anteriorcon la ecuación característica del Flip-flop utiliza-do y se deduce la expresión lógica de las entradasdel mismo.

Diseño de un Contador BCD Natural

Este contador debe poder contar de 0 hasta 9 yuna vez que llega al último número, se vuelve acero con la próxima cuenta.

- En primer lugar seleccionamos FF J-K paranuestro dispositivo.

- Como el contador tiene un módulo 10 se de-be cumplir que:

2n ≥ 10luego, n = 4

- Buscamos ahora la tabla de verdad del con-tador que estamos diseñando, para ello, a conti-nuación, presentamos una tabla con estados ac-tuales o presentes y otra con los estados siguien-tes a una cuenta o estados futuros:

–––––––––––––––––––––––––––––––––––––––––––––––––––––––– ESTADO ACTUAL PROXIMO ESTADO

Q3 Q2 Q1 Q0 Q+3 Q+2 Q+1 Q+0––––––––––––––––––––––––––––––––––––––––––––––––––––––––0 0 0 0 0 0 0 10 0 0 1 0 0 1 00 0 1 0 0 0 1 10 0 1 1 0 1 0 00 1 0 0 0 1 0 10 1 0 1 0 1 1 00 1 1 0 0 1 1 10 1 1 1 1 0 0 01 0 0 0 1 0 0 11 0 0 1 0 0 0 01 0 1 0 X X X X1 0 1 1 X X X X1 1 0 0 X X X X1 1 0 1 X X X X1 1 1 0 X X X X1 1 1 1 X X X X––––––––––––––––––––––––––––––––––––––––––––––––––––––––En las tablas que acabamos de construir, Q3,

Q2, Q1, Q0 representan el estado actual de la sali-da Q de los 4 Flip-flops numerados respectiva-mente como 3, 2, 1 y 0; mientras que Q+3, Q+2,Q+1, Q+0 representan el "próximo" estado que to-

Page 10: Capitulo 5 - Circuitos Secuenciales

TÉCNICAS DIGITALES

76 CLUB SABER ELECTRÓNICA

mará la salida Q de los 4 Flip-flops que hemos nu-merado respectivamente como 3, 2, 1, y 0.

Cuando el estado actual de los 4 Flip-flops es0000 (corresponde al decimal 0), el próximo esta-do es 0001 (que corresponde al decimal 1); cuan-do ese estado es 0001 (decimal 1), el próximo es-tado es 0010 (que corresponde al decimal 2), y asísucesivamente. Cuando el estado actual del conta-dor sea 1001 (que corresponde al decimal 9) elpróximo estado será 0000 (decimal 0); es decir, sevuelve al estado inicial.

Debemos ahora construir los diferentes mapasde Karnaugh para cada uno de los cuatro FF queposee nuestro contador. Comenzamos con el FF,cuya salida será Q0 cuyo estado siguiente hemosdenominado Q+0. Para el Flip-flop numerado co-mo 0 se obtiene Q+0:

Se deduce que:

Q+0 = Q0

De la misma manera procedemos para el se-gundo FF que hemos identificado como Q1 cuyoestado siguiente (salida para nosotros) será Q+1.Vea la tabla 5.

En este caso, se pueden agrupar dos "1" quecorresponden a los dos primeros casilleros de lasegunda fila. En dichos casilleros, la única varia-ble que cambia de un "1" respecto del otro es Q2.Para ambos "1" se cumple que:

Q0 = 1 (Q0), Q1 = 0 (Q1), Q3 = 0 (Q3)

Y, tal como dijimos, el estado de Q2 es el úni-co que cambia entre un casillero y el otro, por lotanto, para ese grupo la ecuación será:

Q0 Q1 Q3

Para el otro grupo de cuatro "1" será:

Q0 Q1

Por lo tanto la ecuación característica de estesegundo FF será:

Q+1 = Q0 Q1 + Q0 Q1 Q3

Damos en las tablas 6 y 7 los mapas correspon-

Page 11: Capitulo 5 - Circuitos Secuenciales

DISEÑO DE CIRCUITOS SECUENCIALES

CLUB SABER ELECTRÓNICA 77

dientes al tercer y cuarto Flip-flop respectivamente:

Haciendo el análisis correspondiente, de las ta-blas anteriores, surge que las ecuaciones caracte-rísticas de los últimos dos FF de nuestro contadorBCD serán:

Q+2 = Q0Q2 + Q0Q1Q2 + Q1Q2

Q+3 = Q0Q1Q2 + Q0Q3

En esta última ecuación podríamos considerarun solo "1" en el grupo de la tercera columna (veatabla 7), dado que la X puede tomar el valor "0" o"1". A los fines de dar un ejemplo que contenga to-das las posibilidades, si consideramos el mapa co-mo acabamos de sugerir, la ecuación característi-ca del último FF queda:

Q+3 = Q0Q1Q2Q3 + Q0Q3

Note que, al considerar un grupo de un solo"1", la cantidad de variables correspondientes aese "1" aumenta; de ahí la necesidad de agrupar lamayor cantidad de "1", dado que al implementar elcircuito serán necesarias compuertas de menorcantidad de entradas. Por todo lo dicho, las ecua-ciones correspondientes a los cuatro FF son:

Para FF0, Q+0 = Q0

Para FF1, Q+1 = Q0 Q1 Q3 + Q0 Q1

Para FF2, Q+2 = Q0 Q2 + Q0 Q1 Q2 + Q1 Q2

Para FF3, Q+3 = Q0 Q1 Q2 Q3 + Q0 Q3

Vamos ahora, a comparar las ecuaciones obte-

nidas con la ecuación característica del Flip-flopJ-K, igualando los coeficientes de las variables Qy Q correspondientes a J y K respectivamente.

Recuerde que:

Q+1 = JQ + KQ

Para FF0 será:J0 = 1K0 = 0, o lo que es lo mismo: K0 = 1

Para el FF1:J1 = Q0 Q3K1 = Q0

Para el FF2:J2 = Q0 Q1K2 = Q0 + Q1

Luego, aplicando leyes del álgebra digital, setiene:

K2= Q0 . Q1

Para el FF3:J3 = Q0 Q1 Q2K3 = Q0

Con estas cuatro ecuaciones, podemos cons-truir el circuito de nuestro contador, cuyo esquemase muestra en la figura 11. Las compuertas ANDque interconectan los Flip-flops limitan el conteoa módulo 10; es decir, cuenta desde "0" hasta "9".En la siguiente tabla se detalla la forma en que serealiza la cuenta:

–––––––––––––––––––––––––––––––––––F3 FF2 FF1 FF0 CONTEO––––––––––––––––––––––––––––––––––– 0 0 0 0 00 0 0 1 10 0 1 0 20 0 1 1 30 1 0 0 40 1 0 1 50 1 1 0 60 1 1 1 71 0 0 0 81 0 0 1 9–––––––––––––––––––––––––––––––––––

Partimos de un contador binario del tipo"8421", ya visto; cuando el contador llega a la

Page 12: Capitulo 5 - Circuitos Secuenciales

TÉCNICAS DIGITALES

78 CLUB SABER ELECTRÓNICA

cuenta 1001 (que corresponde al decimal 9), conel próximo pulso, nuestro contador de módulo 10,debe retornar a 0000 (que corresponde al decimal0). Esto se consigue interconectando los Flip-flopsmediante las compuertas AND. Para mantener elFF1 en el estado lógico 0, en el siguiente pulso dereloj que viene detrás del estado 1001 (decimal 9)la salida Q del FF3 se conecta a la entrada de lacompuerta AND 1. En este instante la salida Q delFF3 es un "0" y, por lo tanto, las entradas J y K delFF1 son "0"; el FF1 no cambia de estado con elpróximo pulso de reloj. Ahora bien, para hacerque la salida Q del FF3 pase a "0", la salida Q delFF0 se conecta directamente a K del FF3. Esto ha-ce que la entrada K pase continuamente de un es-tado alto a otro bajo, de modo alternativo y, por lotanto, el FF3 se mantiene en "0". Cuando se reali-za la cuenta del decimal 7, todas las entradas de lacompuerta AND 3 pasan al estado"1" y aparece unnivel alto, tanto en J como en K del FF3. Por con-siguiente, en el próximo pulso de reloj, el FF3 pa-sa a 1 (conteo decimal 8). Este estado "1" perma-nece después de que tiene lugar el pulso de relojposterior (conteo decimal 9), ya que ahora la sali-da Q del FF0 es un "0", suprimiendo así el estadológico "1", tanto en J como en K del FF3. Para elconteo del decimal 9, Q del FF0 pasa a "1" otravez y, por lo tanto, el FF3 tiene K alta y J baja. Deesta manera, con el siguiente pulso de reloj, el FF3retorna nuevamente a "0".

Contadores en Anillo

En el capítulo anterior analizamos los registrosde desplazamiento. En esa oportunidad vimos quelos datos en las entradas se pueden cargar en serie

o en paralelo y de la mis-ma manera se pueden ob-tener en sus respectivassalidas.Agregando algunas com-

puertas lógicas a un regis-tro de desplazamiento selo puede transformar enun contador en anillo. Es-te dispositivo es simple-mente un registro de des-plazamiento de rotación;es decir, un registro cuyasalida está conectada a su

entrada.Existen muchas formas de construir un conta-

dor en anillo y los usos que puede tener van desdecircuitos secuenciales para efectos lumínicos es-peciales, hasta cerraduras con claves secretas deseguridad.

De la misma manera que hemos explicado có-mo se diseña un contador de módulo y secuenciadeterminada, también podemos "diseñar" conta-dores en anillo e, incluso a partir de un contadoren anillo se puede obtener un equipo que realiceotro tipo de cuentas (contador BCD, contadorJohnson, etc).

A los fines prácticos, en la figura 12 se da elcircuito correspondiente a un contador en anillocon cuatro FF J-K que responde a la siguiente ta-bla de verdad:

–––––––––––––––––––––––––––––––––––––ESTADO FLIP-FLOP

1 2 3 4––––––––––––––––––––––––––––––––––––– PRECARGA 1 1 0 0 0

2 0 1 0 03 0 0 1 04 0 0 0 11 1 0 0 0

–––––––––––––––––––––––––––––––––––––

El contador en anillo se "carga" normalmentecon un "1" en el primer Flip-flop y con "0" en to-dos los demás (se llama precarga). Después, me-diante los pulsos de reloj, se hace circular el bit"1" a través de todos los FF del registro.

Como consecuencia de esto, el contador obtie-ne una serie de combinaciones lógicas en sus sali-das que pueden utilizarse para clasificar un equi-po u otros circuitos lógicos por medio de diferen-

Figura 11

Page 13: Capitulo 5 - Circuitos Secuenciales

DISEÑO DE CIRCUITOS SECUENCIALES

CLUB SABER ELECTRÓNICA 79

tes operaciones. Además de losusos ya citados, los contadoresen anillo se utilizan en compu-tadoras, en decodificadores y enotras aplicaciones.

Una ventaja interesante deestos contadores es que, contra-riamente a lo que sucede enotros dispositivos, no precisandecodificación, ya que cual-quier línea de salida puede co-nectarse directamente al dispo-sitivo o circuito que va a acti-varse. Una limitación del conta-dor en anillo es que, por ejem-plo, un contador de 4 bits sólopuede generar 4 estados únicos,a diferencia de los otros conta-dores vistos, que con 4 bits pue-den generar 16 estados diferen-tes. Esto significa que un conta-dor en anillo tiene n estados, pe-ro un contador binario de peso8421 tiene 2n estados, siendo nel número de Flip-flops. Dicho de otra manera, uncontador en anillo tiene n secuencias; es decir, pa-ra contar, por ejemplo, 10 secuencias, se necesitan10 Flip-flops.

Con una ligera modificación, el contador enanillo se transforma en un contador Johnson.

La diferencia fundamental es que la salida Qdel último Flip-flop se vuelve a conectar a la en-trada J del primer Flip-flop, tal como se muestraen la figura 13.

Un contador Johnson tiene 8 estados (cuentade 0 a 7 en decimal), que responden a la siguientetabla:

–––––––––––––––––––––––––––––––––––DIGITO FLIP-FLOP

DECIMAL 4 3 2 1–––––––––––––––––––––––––––––––––––

0 0 0 0 01 0 0 0 12 0 0 1 13 0 1 1 14 1 1 1 15 1 1 1 06 1 1 0 07 1 0 0 0

––––––––––––––––––––––––––––––––––– 0 0 0 0 0

A la salida de nuestro contador necesitaremosdecodificadores para poder obtener los diferentesestados que muestra en la tabla anterior. De ella sededuce que:

"0" = Q1Q4"1" = Q1Q2"2" = Q2Q3"3" = Q3Q4"4" = Q1Q4"5" = Q1Q2"6" = Q2Q3"7" = Q3Q4

Evidentemente, esta decodificación se puederealizar con compuertas AND, tal como muestra lafigura 14. Como consecuencia de la realimenta-ción de la salida invertida con la entrada, el conta-dor pasa por 2 x n estados diferentes, siendo n elnúmero de Flip-flops que hay en el contador. En elcircuito analizado hay 4 Flip-flops y 8 estados di-ferentes. Esta es una ventaja con respecto al con-tador en anillo que vimos anteriormente, ya quetiene el doble de estados posibles.

- El contador Johnson tiene el doble de estadosque el contador en anillo y la mitad de estadosque un contador binario de peso 8421.

Figura 12

Figura 13

Page 14: Capitulo 5 - Circuitos Secuenciales

TÉCNICAS DIGITALES

80 CLUB SABER ELECTRÓNICA

La desventaja delcontador Johnson, conrespecto al contador enanillo, es que necesitaun decodificador paradar una señal indepen-diente para cada uno delos 2 x n estados.

El código Johnsonmás empleado tiene 5bits. En la siguiente ta-bla damos los corres-pondientes valores endecimal:

–––––––––––––––––––––––––––––––––––DIGITO FLIP-FLOPDECIMAL 5 4 3 2 1–––––––––––––––––––––––––––––––––––

0 0 0 0 0 01 0 0 0 0 12 0 0 0 1 13 0 0 1 1 14 0 1 1 1 15 1 1 1 1 16 1 1 1 1 07 1 1 1 0 08 1 1 0 0 09 1 0 0 0 0

–––––––––––––––––––––––––––––––––––

Aplicaciones de los Contadores

Una aplicación interesante de los contadores seefectúa en temporizadores de períodos prolonga-dos, en los cuales se divide la frecuencia de unaseñal generada por un oscilador, mediante la cuen-ta de pulsos en dispositivos como los que hemosanalizado.

En la próxima sección, describiremos uncircuito que hace uso de un contador CMOSdigital para “contar” gran cantidad de pulsos y asíobtener tiempos prolongados.

******************

Figura 14

LIVEWIREExperimente con Circuitos para Saber Cómo Funcionan sin Tener que Montarlos RealmenteLivewire es un “Laboratorio Virtual” que permite hacer simulaciones virtuales empleando animación y sonido que demuestran los principios de funcionamiento delos circuitos electrónicos, teniendo la oportunidad de visualizar qué ocurre con el desempeño del circuito cuando se realiza alguna modificación.Dicho de otra forma, si Ud. quiere montar un circuito y no está seguro de que va a funcionar, primero dibújelo con el Livewire y averigue cómo se comporta (sinnecesidad de montar el circuito realmente y mucho menos, tener que comprar los componentes).Ud. cuenta con switches, transistores, diodos, circuitos integrados, bobinas, resistencias, capacitores y cientos de otros componentes que pueden ser conecta-dos para investigar los conceptos de voltaje, corriente y carga.No hay límites para el diseño de los circuitos, ni conexiones o componentes que fallen; puede interconectar cientos de componentes en un solo circuito y tampo-co hay límites en la cantidad de prototipos que se pueden simular.Si quiere saber cómo se comporta un circuito, simplemente debe “arrastrar” los componentes sobre un “tablero o documento” y los tiene que conectar siguiendopasos muy simples hasta formar el circuito que Ud. quiera. Una vez armado el circuito sobre dicho tablero tiene que seguir pasos muy simples para conectarleinstrumentos (osciloscopios, fuentes de alimentación, multímetros, frecuencímetros, etc.) y así ver cómo opera. Si se trata de un amplificador de audio, por ejem-plo, y le coloca una señal de entrada, podrá experimentar cómo reproduce el parlante. Es decir, trabajará en forma virtual como lo haría en el mundo real.

Este laboratorio virtual simulador de circuitos electrónicos posee las siguientes características:– Símbolos de circuitos y paquetes de componentes.– Herramientas para el diseño de circuitos inteligentes, que unen su circuito automáticamente mientras trabaja.– Produce la simulación de circuitos interactivos, tal como si trabajaran en el mundo real.– Permite la simulación realista de más de 600 componentes ya almacenados en el programa.– Posee instrumentos virtuales que incluyen osciloscopios y analizadores lógicos, que ayudan a la investigación y diseño de circuitos. También tiene multímetros, fuentes de alimentación y muchos otrosinstrumentos.– Produce la simulación realista de todos los componentes y si hace algo mal, éstos explotarán o se destruirán. Si conecta una lamparita de 12V sobre una fuente de 24V, podrá ver en pantalla cómo sequema dicha lámpara.– Ofrece publicaciones integradas de textos, gráficos y soporte para ortografía y gramática.– La simulación en tiempo real permite localizar y solucionar fallas.– Los circuitos que haya armado con el Livewire podrá ejecutarlos con el PCB Wizard para hacer el co-rrespondiente circuito impreso.

Precio Argentina $180.-

Precio México $600 M.N.

UK - 0003