Bacnet

download Bacnet

of 6

description

Comunicación Bacnet

Transcript of Bacnet

  • IMPLEMENTACION DE UN SENSOR INTELIGENTE DE TEMPERATURA SOPORTADO SOBRE EL PROTOCOLO BACNET

    Ing. Ivn Jaramillo J. [email protected]

    Oscar Ricardo Montero Tovar - [email protected] Jorge Alexander Pico Bonilla - [email protected]

    GMUN Grupo de Microelectrnica Universidad Nacional de Colombia

    ABSTRACT

    This paper presents the temperature intelligent sensors control and communication module. The communication module part is supported under the data communication protocol for building automation and control networks (BACnet). Additionally, is covered the monitoring software design in a PC for the intelligent sensors functional verification over a data communication network.

    RESUMEN Este trabajo presenta la implementacin de mdulo de control y comunicacin de un sensor inteligente de temperatura. La parte del mdulo correspondiente a la comunicacin est soportada bajo el protocolo de comunicacin de datos para automatizacin de edificios y redes de control (BACnet). Adicionalmente, se cubre el diseo del software de monitoreo en un PC para la comprobacin funcional del sensor inteligente en una red de comunicacin de datos.

  • IMPLEMENTACION DE UN SENSOR INTELIGENTE DE TEMPERATURA SOPORTADO SOBRE EL PROTOCOLO BACNET

    Ing. Ivn Jaramillo J. [email protected]

    Oscar Ricardo Montero Tovar - [email protected] Jorge Alexander Pico Bonilla - [email protected]

    GMUN Grupo de Microelectrnica Universidad Nacional de Colombia

    RESUMEN

    Este trabajo presenta la implementacin de mdulo de control y comunicacin de un sensor inteligente de temperatura. La parte del mdulo correspondiente a la comunicacin est soportada bajo el protocolo de comunicacin de datos para automatizacin de edificios y redes de control (BACnet). Adicionalmente, se cubre el diseo del software de monitoreo en un PC para la comprobacin funcional del sensor inteligente en una red de comunicacin de datos.

    1. INTRODUCCION

    Los sensores inteligentes son dispositivos compuestos por un elemento sensor ms un mdulo de control y comunicacin. Su importancia radica en que contribuyen a la automatizacin de procesos de control en edificios y en entornos industriales, en donde hay un sinnmero de variables a controlar ubicadas en sitios distantes dentro de la infraestructura de tales ambientes. Con las redes de comunicaciones se logra centralizar el manejo de las diferentes variables para su procesado y control. Obviamente, el uso de redes implica el manejo de protocolos de comunicacin y actualmente, hay varias opciones que estn optimizadas para tal fin y el protocolo BACnet constituye una de ellas.

    Por otra parte, el mercado de la electrnica ofrece microcontroladores y microprocesadores de muy alto rendimiento, con conectividad a redes y a precios bajos. Con base en los anteriores aspectos, se presenta a continuacin el desarrollo terico-prctico de un sensor inteligente de temperatura y de lo que podra ser una workstation de monitoreo.

    2. MARCO TEORICO

    El marco terico para el desarrollo del presente proyecto abarca varios campos de la ingeniera electrnica, entre ellos estn las redes de comunicacin de datos, protocolos

    de comunicaciones, diseo de software, sistemas microcontrolados e instrumentacin y medidas.

    En vista del extenso marco terico, se puntualizar en las caractersticas del protocolo de comunicacin de datos BACnet, ya que es el elemento ms significativo que permiti la consecucin del proyecto. 2.1. Protocolo BACnet Es un protocolo abierto de comunicacin de datos para edificios inteligentes y redes de control (BACnet=Building Automation and Control networks). Fue desarrollado por el organismo norteamericano ASHRAE (American Society of Heating, Refrigeration, and Air Conditioned Engineers ) y su objetivo es el manejo de aplicaciones de control en sistemas de automatizacin de edificios tales como sistemas de control de aire acondicionado(HVAC, por sus siglas en ingls), iluminacin, seguridad, y de deteccin y supresin de fuego. Actualmente, es un estndar de la ANSI y de la ISO.

    Este protocolo surgi debido a la necesidad de crear un estndar que permitiera la comunicacin de sistemas de control de diferentes vendedores. Dicha necesidad apareci en la dcada de 1980, ante la imposibilidad de reunir bajo un mismo sitio sistemas de control de distintos vendedores lo que ocasionaba grandes costos y en algunas ocasiones prdida de materiales y equipos. En vista de estas situaciones, en Enero de 1987 ASHRAE inici el desarrollo de un protocolo industrial estndar para la comunicacin de los sistemas de control en edificios con la creacin del comit 135 que se encarg de esta tarea. En Agosto de 1991 se present al pblico la primera versin del protocolo, en Marzo de 1994 sali la segunda versin, y finalmente en Junio de 1995 la tercera versin fue aprobada como estndar de ASHRAE y en Noviembre de ese ao fue aprobado como un estndar de la ANSI. 2.1.1. Visin tcnica de BACnet Antes que nada, BACnet no define la configuracin interna, la estructura de datos o la lgica de control de los

  • controladores. La informacin que necesita ser visible sobre la red de comunicacin es abstrada de los detalles de implementacin a travs del uso de objetos estndar.

    La determinacin de los objetos en un dispositivo a partir de sus procesos y datos son dejados al fabricante o implementador.

    Con respecto a arquitectura de comunicacin de BACnet, esta se deriv del modelo de referencia de interconexin de sistemas abiertos (OSI). El comit 135 removi funcionalidades y capas del modelo OSI que no eran requeridas en un entorno de comunicaciones de edificios inteligentes y ambientes industriales. De ah que se hable de un colapso del modelo OSI en la arquitectura de BACnet, tal y como lo muestra la Figura 1.

    Figura 1. Arquitectura colapsada de BACnet.

    La capa de aplicacin de BACnet consiste en

    realidad, de la capa de aplicacin ms algunas funcionalidades de las capas de presentacin y transporte del modelo OSI. Las funcionalidades de la capa de transporte requieren de una entrega confiable de datos. En la capa de presentacin se utiliza ASN.1 para la representacin de datos sobre la red. Con respecto a la capa de aplicacin, propiamente dicha, esta se tiene que analizar como dos partes separadas pero estrechamente relacionadas: un modelo de informacin contenido en un dispositivo de automatizacin de edificios, y un grupo de funciones o servicios usados para intercambiar esta informacin.

    El diseo interno y configuracin de un dispositivo es propietario en naturaleza y diferente para cada vendedor. BACnet supera este obstculo con la definicin de una coleccin de estructuras de datos abstracta llamadas objetos, en donde las propiedades de estos representan varios aspectos del hardware, software y operacin del dispositivo. Los objetos BACnet proveen un medio de identificacin y acceso a la informacin sin requerir el conocimiento de los detalles del diseo interno del dispositivo. El software de comunicacin en el dispositivo puede interpretar peticiones por informacin contenida en los objetos abstractos y traducir esta peticin para obtener la informacin desde la estructura de datos real interna del dispositivo. En conjunto, los objetos proveen una representacin visible a la red del dispositivo BACnet. Existen 18 tipos de objetos estandarizados por BACnet.

    Con respecto a los servicios, estos se agrupan en seis categoras:

    - Acceso a objetos. - Alarma y eventos. - Manejo de dispositivos remotos. - Terminales virtuales. - Seguridad. - Acceso a archivos. En total son 37 servicios que constituyen los medios

    por los cuales un dispositivo BACnet adquiere informacin de otro dispositivo, comanda otro dispositivo para realizar algunas acciones, o anuncia a uno o ms dispositivos que algn evento ha ocurrido. De esta manera BACnet se basa en una arquitectura cliente-servidor. Referente a la capa de red, su funcin es proveer una camino para interconectar LANs de diferentes tecnologas. Con respecto a estas tecnologas, BACnet ofrece varias opciones de transporte local. La Figura 2 ofrece una ilustracin comparativa de estas opciones.

    Figura 2. Opciones de transporte local.

    Ya que en ciertas circunstancias es posible usar diferentes tecnologas de transporte para cumplir con un mismo trabajo, en donde la razn costo/velocidad puede no ser la misma; el diseo en BACnet permite adaptarse al transporte existente o al deseado.

    ltimamente, la popularizacin de la pila TCP/IP en el mundo gracias a Internet ha movilizado a los protocolos de comunicacin industriales a adoptar su esquema red. BACnet no es ajeno a esa tendencia y permite su implementacin sobre redes IP, para tal fin ofrece dos opciones: IP Message Tunneling y BACnet/IP.

    Para resumir, el protocolo de comunicacin de datos para la automatizacin de edificios y redes de control BACnet , consiste de un modelo de informacin (objetos BACnet), servicios, un protocolo de capa de red, y una seleccin de varias tecnologas de transporte de red. La Figura 3 ilustra este resumen.

  • Figura 3. Estructura general de BACnet.

    3. DESCRIPCION DEL SISTEMA Y

    ESPECIFICACIONES El modulo de comunicacin y control corresponde a un sensor inteligente de temperatura. Bajo estas condiciones se abstraen los siguientes objetos BACnet: - DEVICE: objeto requerido por todo dispositivo

    BACnet, contiene informacin general acerca del dispositivo tal como nombre del fabricante, locacin, tipos de objetos soportados, etc.

    - ANALOG INPUT: sus propiedades representan caractersticas visibles externamente de una entrada anloga, en este caso un sensor de temperatura.

    A continuacin se definen los servicios que soporta el sensor inteligente: - ReadProperty : retorna un valor de una propiedad de

    un objeto, en el caso particular, la propiedad de inters es el valor presente correspondiente a la lectura del sensor.

    - ConfirmedCOVNotification: informa a dispositivos inscritos previamente, el cambio del valor temperatura.

    - SuscribeCOV: permite a dispositivos la suscripcin al servicio de reporte de cambio de valor temperatura.

    3.1. Diagrama de bloques del sistema

    Figura 4. Diagrama de bloques total del sistema. 3.1.1. Plataforma de software del mdulo El mdulo de control y comunicacin de datos es una plataforma de software que se encarga de la administracin de la comunicacin y el control del sensor.

    A su vez esta compuesto por dos mdulos en un ambiente multitarea, es decir, los mdulos realizan sus tareas en forma paralela. Ver Figura 5. El mdulo 2 se encarga de la recepcin de los servicios y su correspondiente almacenamiento en un buffer de servicios.

    El mdulo 1 se encarga de dos tareas. La primera es la atencin y respuesta a los servicios solicitados que se encuentran en el buffer de servicios, garantizando una comunicacin con el sensor para aquellos servicios que requieran el valor presente. La segunda tarea es un continuo chequeo del valor presente para verificar que no se encuentre fuera de un rango determinado. En caso de presentarse el fuera de rango, el mdulo 1 enva un servicio a todos los dispositivos inscritos al servicio de reporte de cambio de valor de temperatura, notificando sobre la situacin.

    Figura 5. Lgica interna del mdulo.

    El esquema de red que se implement es BACnet/IP y la trama de BACnet correspondiente se observa en la Figura 6.

    Figura 6. Descripcin de la trama BACnet.

    Con el objeto de comprobar el correcto funcionamiento del sistema, se diseo un software de monitoreo en un computador. Como tal, esta herramienta debe interactuar con el sensor inteligente y por tanto,

  • soportar el protocolo. De esta manera, el computador incluye el objeto DEVICE y los servicios de ReadProperty, ConfirmedCOVNotification y SuscribeCOV, e implementa una plataforma de software de cliente con una estructura muy similar a la del sensor inteligente, ya que se conservan los mismo bloques, con la salvedad que el modulo 1 tiene una comunicacin bidireccional con un operario y no con el sensor un temperatura. 3.1.2. Hardware Para la implementacin del sensor inteligente se utiliz la tarjeta rcm2200 de Rabbit Semiconductor. Esta tarjeta cuenta con un microprocesador Rabbit 2000 de 8 bits, 256 K de memoria flash, 128 K de memoria RAM esttica, 2 conectores de 26 pines que proveen lneas de entrada/salida al usuario y un puerto Ethernet 10Base-T. Por su parte, el microprocesador viene en encapsulado PQFP de 100 pines, tiene 40 lneas de entrada/salida paralelas (compartidas con 4 puertos seriales), numerosos temporizadores y contadores (juntos suman 6), cuatro niveles de interrupcin que facilitan respuestas rpidas de utilidad en aplicaciones crticas, entre otros. El entorno de desarrollo utilizado para la implementacin en el hardware de la plataforma de software de servidor fue Dynamic C. Este entorno de desarrollo est diseado para uso en controladores Z-World y otros controladores basados en procesadores Rabbit. Dynamic C integra edicin, compilacin, vinculacin, carga y depuracin en un solo programa. Las aplicaciones se desarrollan en C y la compilacin, vinculacin y carga en la tarjeta rcm 2200 es bastante transparente al usuario y se logra llamando a una funcin de Dynamic C. Tambin es soportado el desarrollo en lenguaje ensamblador. El software de monitoreo se implemento en un PC de escritorio con tarjeta de red FastEthernet 10/100. La plataforma de software de cliente fue desarrollada en Java. La escogencia de este lenguaje radica en que esta orientado por objetos y a que existe un API muy completa de Sun Microsystems, estos aspectos simplifican el desarrollo de aplicaciones basadas en BACnet. Adicionalmente se cont con la ayuda de una API diseada especficamente para el desarrollo de aplicaciones BACnet propiedad de Shri Systems Inc. 3.2 Conexin del sensor inteligente a una red Ethernet Durante el desarrollo del sensor inteligente y del software de monitoreo, las pruebas funcionales se hicieron de una manera progresiva, as, en un principio se implementaron y verificaron tareas simples con el objeto de tener un soporte adecuado para la implementacin del sistema total

    de comunicacin y control del sensor inteligente y del software de monitoreo previamente diseados.

    Bajo esta premisa, se termin el sensor inteligente y el software de monitoreo en una red de rea local Ethernet simple: una conexin del sensor con el computador de monitoreo a travs de un cable cruzado. Una vez superadas todas las pruebas funcionales y garantizado el correcto desempeo e interaccin de los dos dispositivos BACnet, se procedi a conectarlos a la red de rea local Ethernet de la Universidad Nacional con el objeto de hacer la prueba de campo correspondiente, la Figura 7 ilustra dicha conexin.

    Figura 7. Conexin de los dispositivos BACnet.

    En la prueba de campo los dos dispositivos reflejaron el mismo comportamiento correcto de la red Ethernet simple, es decir, cuando desde el computador de monitoreo se requera el valor presente del sensor, este lo retornaba y se haca el despliegue correspondiente. De igual forma, el computador poda hacer una peticin exitosa de suscripcin al servicio de reporte de cambio de valor de sensor inteligente, de esta manera, cuando el sensor detectaba un valor fuera de rango, inmediatamente lo reportaba a computador de monitoreo para despliegue.

    Vale la pena mencionar que la construccin de las tramas BACnet se hizo de manera correcta, ya que el analizador de protocolos de red Ethereal versin 0.9.15 los detect e identific como tramas del protocolo BACnet.

    4. CONCLUSIONES Si observamos hoy da los avances tecnolgicos en el rea de tcnicas digitales de la ingeniera electrnica, vemos que los dispositivos lgicos programables y los microprocesadores estn evolucionando cada da ms rpido, al punto de ofrecer desempeos enormes a precios muy bajos. Es as, como estos dispositivos fcilmente se adaptan hacia la solucin de tareas complejas de una manera rentable, obviamente, con la ayuda de prcticos

  • entornos de desarrollo que facilitan la solucin de problemas a un alto nivel, y de hardware suplementario que brinda conectividad a redes, programacin de dispositivos, entre otros. Con base en este punto de vista, se implement el mdulo de comunicacin y control de un sensor inteligente soportado sobre el protocolo de comunicacin de datos y redes de control (BACnet), en un microprocesador.

    El siguiente paso sera la implementacin del sensor inteligente en un dispositivo lgico programable, ms exactamente un FPGA, al rescatar la estructura lgica del presente proyecto. En el FPGA, dicha estructura lgica se traducira a una descripcin en alto nivel mediante el uso del lenguaje VHDL y luego se hara la correspondiente prueba funcional del sensor inteligente al programar el FPGA y colocar el sistema total sobre la red de datos. El propsito final de esta cadena de desarrollos es usar la descripcin en alto nivel en VHDL y una librera de celdas estndar para producir un circuito integrado a la medida que implemente el mdulo de control y comunicacin del sensor inteligente. Con este circuito integrado, se pretende eliminar los gastos monetarios en recursos de hardware del microprocesador y el FPGA que no se usan cuando se desarrollan aplicaciones especficas y de esta manera, pensar en una produccin en masa para una comercializacin rentable del sensor inteligente. Tambin se demuestra la versatilidad del protocolo de comunicacin de datos BACnet al ser un protocolo abierto diseado especficamente para control de edificaciones, permitir la soluciones basadas en la interoperabilidad de productos de diferentes fabricantes, brindar mltiples opciones de tecnologa de transporte de rea local y ser reconocido como estndar de la ANSI y de la ISO. Adicionalmente, BACnet se puede montar sobre redes IP y por tanto, aprovechar los beneficios que consigo trae la Internet.

    Finalmente, se planea la incursin del presente desarrollo en los ambientes de automatizacin de edificios, casas e industrias, lo cual constituye un mercado naciente en nuestro pas. As mismo, se contina invitando a la generacin de exportaciones en tecnologa al mercado mundial, ello en vista de que las desventajas con los pases potencia son escasas cuando se trata de proyectos, que como el presente, cuentan con un fuerte componente de software.

    5. REFERENCIAS

    [1] A.S. Tanenbaum, Redes de Computadoras, Ed. Prentice Hall, 1.997. [2] ASHRAE, Standard 135-1995: BACnet - A Data Communication Protocol for Building Automation and Control Networks, ASHRAE, Atlanta, Georgia, USA, 1995.

    [3] D. Comer, Internetworking with TCP/IP. Vol. I 2 edicin, Ed. Prentice Hall Inc., 1991. [4] Java Language Specification http://java.sun.com/doc/ [5] H. Deitel y P. Deitel, Cmo programar en Java. 1 edicin, Ed. Prentice Hall Hispanoamericana S.A., 1998. [6] M.F. Azuela y L. Joyanes, Java 2 Manual de programacin, Ed. McGraw Hill, 2001. [7] Rabbit Semiconductor, Rabbit 2000 Microprocessor Users Manual, Rabbit Semiconductor. [8] S.T. Bushby, BACnet A standard communication infrastructure for intelligent buildings, Automation in Construction. Vol. 6 No. 5-6, pp. 529-540, 1997. [9] W. Swan, Building Wide-Area Networks with BACnet (Part II), Engineered Systems. Vol. 16 No.8, pp.62-70, Julio de 1999. [10] W. Swan, The Language of BACnet, Engineered Systems. Vol 13 No.7, pp.24-32, Julio de 1996. [11] Z-World Inc., Dynamic C Users Manual, Z-World Inc. [12] Z-World Inc., RabbitCore RCM2200 Users Manual, Z-World Inc.