084_apuntes Contadores Asincronos y Sincronos 11_12

4

Click here to load reader

Transcript of 084_apuntes Contadores Asincronos y Sincronos 11_12

Page 1: 084_apuntes Contadores Asincronos y Sincronos 11_12

TENCOLOGÍA INDUSTRIAL II CURSO 11/12 SECUENCIALES Página 1

Ampliación de Contadores síncronos y asíncronos. Ejemplos.

Contadores asíncronos integrados en un solo chip. En el mercado podemos conseguir diferentes tipos de integrados con tecnología TTL o CMOS con contadores de rizo internos. Uno

de los ejemplos más usados es el 74LS293.

En la figura de abajo, se encuentra el diagrama interno del integrado.

(Se toma por entendido que todas las entradas "J-K" están en estado ALTO)

En este diagrama podemos observar una conexión muy parecida a la de la figura anterior, el integrado cuenta con cuatro FF tipo "J-

K", cada una de las salidas de los FF representa un BIT, desde Q0 (LSB), hasta Q3 (MSB).

Cada uno de los FF cuenta con una entrada de reloj, pero en este caso, solamente dos son accesibles desde el exterior, la entrada del

primer FF (CP 0), y la del segundo FF (CP 1), esta configuración nos permite utilizar los últimos tres FF como contador de tres bits

y el primero por separado, o utilizar los cuatro bits para la cuenta.

Todos los FF cuentan con una entrada de RESET, la cual se encuentra conectada a una compuerta NAND de dos entradas, las cuáles

son accesibles desde el exterior (MR 1 y MR 2), de esta manera se puede logra el reset del contador desde dos puntos diferentes.

¿Y SI NECESITÁRAMOS HACER UN CONTADOR QUE CUENTE 6 ESTADOS?

Si necesitáramos hacer un contador MOD 6. ¿Cómo podríamos lograr esto?

Debido a la naturaleza de los números binarios, no se puede lograr de manera "Común" un número MOD que es diferente a los

obtenidos por medio de la fórmula anterior.

En estos casos, lo que hacemos es crear un contador con el número MOD más cercano hacia arriba, En este caso, el más cercano es

el MOD 8. Y hacer que el contador omita los estados que no son necesarios.

Es decir:

La tabla nos muestra la cuenta máxima de un contador MOD 6, el cuál sería el número 5 (101), por lo que necesitamos hacer que

nuestro contador "recicle" su cuenta al pasar de este número al siguiente.

En la siguiente figura, se representa el diagrama del contador que necesitamos.

El funcionamiento de este circuito es básicamente el mismo que hemos visto hasta ahora, pero al agregar una compuerta NAND

como control de las entradas de RESET, podemos hacer que nuestro contador se recicle al llegar al número que deseemos.

Cada una de las entradas está conectada a la salida de un FF, dependiendo de cuáles BITS sea, la compuerta ordenará el RESET a

todos los FF, enviando la cuenta a cero o "reciclándola".

En este caso, una de las entradas de la compuerta NAND está conectada a la salida Q1 (Segundo BIT), y la otra a Q2 (Tercer BIT),

por lo que solamente habrá un pulso de RESET a la salida en la compuerta cuando sus dos entradas se encuentren en el estado

Page 2: 084_apuntes Contadores Asincronos y Sincronos 11_12

TENCOLOGÍA INDUSTRIAL II CURSO 11/12 SECUENCIALES Página 2

ALTO. Por lo que la compuerta actuará cuando esté presente el número seis (110), enviando la cuenta nuevamente a cero (000).

Al ser un contador de rizo, nuevamente nos topamos con el factor del retraso en la propagación de la cuenta, ya que se necesita

cierto tiempo para que la señal de salida de los contadores sea enviada al RESET de los FF, por un pequeño momento (tal vez no

perceptible para nosotros), será visible el número 6, e inmediatamente será reciclado a cero. Este efecto es claramente visible si a

nuestro contador le incorporamos un decodificador de Código Binario a un display de 7 segmentos y ocupamos una frecuencia de

reloj muy baja.

El retraso en la propagación de la cuenta es la causa principal por la que los contadores de rizo no son muy utilizados en sistemas de

medición de tiempo. Por ejemplo un reloj digital, ya que después de cierto tiempo, el reloj quedará retrasado.

Dirección de la cuenta en los contadores de rizo. Hasta ahora sólo hemos visto contadores asíncronos que siempre elevan el número de la cuenta, pero ¿Cómo lograr que el contador

funcione restando la cuenta? La solución es muy sencilla, simplemente se alimentan los FF con las salidas Negadas o Invertidas de

los FF, no importa el número MOD de un contador, si se toman las salidas Negadas como reloj para los siguientes FF, la cuenta

siempre será hacia abajo.

CONTADORES SÍNCRONOS Como vimos anteriormente, los contadores de rizo no pueden ser utilizados para llevar cuentas precisas, cuando necesitamos la

mayor precisión posible, se deben utilizar los Contadores Síncronos o "Paralelos".

A diferencia de su contraparte el contador de rizo, el contador síncrono o "Paralelo" lleva una conexión un tanto diferentes sobre los

FF, esto puede aumentar su complejidad, pero es la única manera de obtener el menor retraso posible para operar de manera

confiable y alcanzar mayores velocidades de conteo.

En los contadores paralelos, todos los FF cambian al mismo tiempo, lo que reduce la propagación a un solo valor (el tiempo que

tarda en cambiar de estado un solo FF).

La siguiente figura ilustra el circuito de un contador síncrono (Paralelo):

Al comparar el circuito síncrono y el asíncrono, podremos observar diferencias muy marcadas:

1. En este circuito, todas las entradas de reloj (CP) están conectadas a un mismo punto, logrando así que la señal de reloj sea la

misma para todos los FF del contador.

2. Únicamente el primer BIT (FF) tiene sus entradas "J-K" conectadas a V+, y por consiguiente, será el único que se complemente

(Toggle) libremente, los demás dependen de una combinación en las salidas para poder complementarse.

3. Es primordial el uso de otro tipo de circuitos digitales además de los FF, en este caso, un par de compuertas AND, una de dos

entradas y una de tres entradas.

Funcionamiento del circuito contador síncrono Observemos por un momento la secuencia de conteo de este circuito:

Page 3: 084_apuntes Contadores Asincronos y Sincronos 11_12

TENCOLOGÍA INDUSTRIAL II CURSO 11/12 SECUENCIALES Página 3

Tabla 1

Como podemos observar en la gráfica anterior, el primer BIT siempre cambia de estado con cada pulso de reloj, el segundo cambia

cada dos, el tercero cada cuatro, y el cuarto cada ocho.

En los contadores asíncronos, este efecto es automático y no hay que preocuparse por él, pero en el caso de los contadores síncronos,

tenemos que forzar a cada FF a complementarse de manera precisa y controlada

Para que este cambio se lleve a cabo, se utilizan las dos compuertas, tomemos como ejemplo la compuerta de dos entradas,

solamente cuando sus dos entradas se encuentren en el estado alto (BIT 1 = 1 y BIT 2 =1), la salida será alta, y por lo tanto "J-K" del

tercer FF también, al llegar el pulso del reloj, este podrá complementarse. Y en el caso de la compuerta de tres entradas el caso es

idéntico, solamente cuando sus tres entradas sean altas, su salida será alta, y el cuarto FF podrá cambiar.

En otras palabras, EL FF 1 se complementa sin ayuda alguna, ya que sus entradas "J-K" le permiten hacerlo libremente, el segundo

FF depende de la salida del primero, el tercero depende de de los dos primeros, el cuarto de los tres primeros, y así sucesivamente si

le seguimos colocando más BITS (FF) al contador.

Los cambios en las entradas de las compuertas suceden con cada pulso del reloj, de manera que mientras la transición correcta llega,

los FF que deban complementarse ya están "preparados" y responden inmediatamente a la señal de reloj.

Ventajas de los contadores síncronos La principal ventaja de este tipo de contadores sobre los asíncronos radica en que todos los FF sin importar cuántos sean, cambian al

mismo tiempo, sincronizados por la señal de reloj.

Una ventaja derivada de la primera es que el tiempo de propagación se reduce al mínimo, ya que el conteo sólo debe propagarse por

una o dos compuertas y un FF (Ya que cambian al mismo tiempo, y no dependen de otro FF para operar).

Por lo que el retardo de estos contadores va a ser mucho menor al de un contador asíncrono con el mismo número de FF (BITS).

Page 4: 084_apuntes Contadores Asincronos y Sincronos 11_12

TENCOLOGÍA INDUSTRIAL II CURSO 11/12 SECUENCIALES Página 4

CI 74LS193 La siguiente figura nos muestra el circuito integrado de la familia TTL 74LS193. Un contador síncrono MOD 16 pre-fijable y

reset asíncrono y con salidas de acarreo.

En la siguiente tabla se describen los nombres de cada uno de los pines

Descripción del funcionamiento de las entradas / salidas

Entrada CPU: Esta entrada sólo responderá a las TP (Transiciones positivas) de la señal de reloj, se puede identificar

claramente por la falta de un círculo o una línea debajo del símbolo de la entrada. Y su propósito es el de enviar los pulsos de

reloj para activar la cuenta Ascendente.

Entrada CPD: Esta entrada sólo responderá a las TP (Transiciones positivas) de la señal de reloj, se puede identificar

claramente por la falta de un círculo o una línea debajo del símbolo de la entrada. Y su propósito es el de enviar los pulsos de

reloj para activar la cuenta Descendente.

Entrada PL: Esta entrada sólo será activa al estar en el estado BAJO, se puede identificar claramente por el pequeño círculo

o una línea debajo del símbolo de la entrada. Y su propósito es el de enviar los pulsos para activar la carga de un número

paralelo pre-establecido.

Entrada MR: Esta entrada sólo será activa al estar en el estado BAJO se puede identificar claramente por el pequeño círculo

o una línea debajo del símbolo de la entrada. Esta entrada es la encargada de activar el RESET general, enviando todas las

salidas al estado BAJO, es decir, al número 0000.

Entradas D0 - D3: Estas entradas sólo serán activas al estar en el estado ALTO, se puede identificar claramente por la falta

de un círculo o una línea debajo del símbolo de la entrada. SU propósito es el de albergar el número binario paralelo que va a

ser cargado al activar el pin PL.

Salidas Q0 - Q3: Las cuatro salidas del contador, desde el LSB (Q0), hasta el MSB (Q3).

Salida TCU: Esta salida enviará un pulso cada vez que el contador se recicle, en el modo de conteo ascendente.

Salida TCD: Esta salida enviará un pulso cada vez que el contador se recicle, en el modo de conteo descendente.